EX-99.6 7 d650002dex996.htm EX-99.6 EX-99.6

Exhibit 99.6

 

LOGO

Public DUV Products and Business Opportunity Ron Kool Executive Vice President – Business Line DUV


LOGO

DUV Products and Business Opportunity Key messages Public Slide 2 8 November 2018 • DUV immersion system revenues increased over the last decade to over 50%, and is an important driver of ASML’s growth • Over the next 5-7 years DUV sales expected to remain important and its composition is expected to change from mainly systems sales to about 50% systems and 50% Installed Base Management sales • ASML is systematically developing its DUV service and field upgrade product portfolio to meet customer requirements and improve performance and/ or extend the lifetime of its installed base, while optimizing its sales opportunities in this space • DUV is well prepared to maintain margins during this transition by: • an innovative DUV Technology Roadmap aligned with customer roadmaps • adopting commonalities between EUV and DUV module development • continuously improving its operations to become leaner and more efficient, while driving quality of systems, services and field options up


LOGO

TWINSCAN DUV Product Roadmap Roadmap in place to deliver next generation DUV systems Public Slide 3 8 November 2018 2017 2018 2019 2020 2021 2022 …. 2025 ArFi NXT:1980i Extend immersion overlay NXT:2000i & focus for multiple patterning. ArFi Matched NEXT overlay to support EUV insertion 1.35 NA Extend throughput for cost reduction 2.5nm|275wph 2.0nm|275wph 1.5nm|285wph NEXT 38nm ArF XT:1460K +BOOST ArF Common platform 0.93 NA NEXT 5nm|205wph Extend overlay to support shrink 220wph 3nm|>250wph 57nm KrF Extend throughput for cost reduction XT:1060K 0.93 NA NEXT 5nm|205wph 80nm 0.80 NA XT:860M +Throughput package KrF NEXT NEXT 120nm 7nm|240wph 250wph >250wph Extend throughput for cost reduction i-line XT:400L +Overlay package 0.65 NA NEXT 20nm|230wph 15nm|230wph 350nm Product Current Released Matched Machine Overlay|Throughput Development Product status Next under Definition


LOGO

NXT:2000i: record-time ramp and reliability Improved maturity on NXT platform enables faster time to ramp Public Slide 4 8 November 2018 150 hours (13wk) NXT:2000i NXT:1980i NXT:1970i Reliability    15 24 59 weeks weeks weeks 4600wpd 5000 Champion NXT:2000i productivity ramp Day 4000 per 3000 2000 Wafers 1000 0 Day1 Day13 Day7 Transfer to customer for production


LOGO

DUV focus: innovation and cost leadership Public Slide 5 • Leadership in immersion reinforced with the NXT:2000i, featuring a next-generation 8 November 2018 alignment sensor, focus & leveling system and laser innovation • Technology roadmap extended with the introduction of next- generation wafer stages and a high-order lens manipulator, also enabling extensions in our Applications product portfolio –to support 5nm and beyond • NXT platform implementation for ArF dry together with the next generation stages, providing higher-productivity tools with improved overlay and leveraging commonalities • Systematic productivity improvements on KrF models enabled 7% CAGR, raising peak performance to over 5,000 wafers per day at overlay levels below 4nm • Continued support for 200mm demand, both in existing installed base as well as new tools NXT:2000i ORION Next generation stage KrF productivity development – 7% CAGR


LOGO

3D NAND manufacturing enabled on XT(dry) and NXT(immersion) Increased wafer stress, high aspect ratios and topologies require tool Public Slide 6 changes 8 November 2018 Increased Wafer Stress Higher Aspect Ratio Larger Topology Processes Warpage & In-die Stress Alignment Leveling Challenges addressed by both hardware and software solutions, working closely with Application solution to improve performance


LOGO

NXT – NXE design commonality Public Slide 7 8 November 2018 ORION DUV: Alignment EUV: Sensor NXT:2000i NXE:3400C UV Level Sensor Deep Ultra Violet lithography: Grid Extreme Ultra Violet lithography: • Wavelength: 193nm (atmospheric) Setup • Wavelength: 13.5nm (vacuum) • Refractive optics (lenses) Wafer • Reflective optics (mirrors) • Refractive reticle • Reflective reticle


LOGO

Steep growth of systems with > 1 million wafers per year 140 systems crossed the >1.5 million wafers per year (WPY) mark Public Slide 8 8 November 2018 Number of systems > 1 million WPY Number of systems > 1.5 million WPY 754 140 XT 646 XT NXT 550 NXT 98 439 366 315 244 53 202 36 153 114 31 5 3 2 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2012 2013 2014 2015 2016 2017 Holistic productivity approach is expected to bring the 1st tool above 2 million WPY in near term


LOGO

These gigantic towers took years to build 1200m In one year an ASML’s scanner output1: > 1km of wafers Public Slide 9 8 November 2018 1: >1.5 million wafers per year


LOGO

Expected total DUV revenue trend Growing importance of Installed Base Management revenue Public Slide 10 8 November 2018 Sales trend drivers DUV Sales • We expect a continued technical and 20% economical need for (high-end) immersion and dry 50% systems, at reduced system sales levels due to increasing EUV adoption. • Installed Base Management revenue expected to increase due to the: 80% • Growing installed base 50% • Offering of value based services and upgrade products • Development of differentiated 2018 2025E solutions (e.g. 3D-NAND) System Sales Installed Base Mangement • Roll-out of life extending products (e.g. upgrades)


LOGO

Multiple opportunities to increase Installed Base revenue Public Slide 11 8 November 2018 Services over the lifetime of a system NXT:1980 example Product Maturity Initial sale (inc. End of Life (20 years) factory options) Relocation Opportunity for cumulative Refurbishment revenue after initial sales Service Contract more than 50% of initial Upgrades / SNEP value: >50%—System Maintenance Cumulative - Upgrades and relocations Value based services Revenue after - Value based services initial sale: 2018 + upside ambition Upgrades and (% of initial sale) relocations System Maintenance


LOGO

NXT system upgrade roadmap extended to NXT:2000i Enabling capital efficient system investments for our customers Public Slide 12 8 November 2018 1st shipment 190wph 230wph 250wph 275wph MMO1 2009 NXT:1950i Upgrade 5.5nm 2013 NXT:1960Bi NXT:1965Ci 275wph 4.5nm 2013 Upgrade NXT:1970Ci 275wph 3.5nm 2016 Upgrade NXT:1980Di 2.5nm 2018 Upgrade NXT:2000i 2.0nm 1: MMO: Matched Machine Overlay


LOGO

ASML offers range of products beyond standard service Public Slide 13 8 November 2018 System Availability Committing to availability and stability performance and Stability Securing more wafer per day and therewith shortening production Factory Output cycle times Providing data interfacing capability, giving customers capability to Data Products use data in their fab automation Enabling customers to do part of the system maintenance on mature Hybrid Service DUV systems Relocations Providing customers the service to re-allocate tools between factories


LOGO

ASML China Business grows with the industry Public ASML System Sales and Employees in China Slide 14 8 November 2018 North China 1600 1200 (2 new fabs) System Sales 1400 Employees 1000 1200 euros) 800 1000 million 800 600 600 Employees East China (in 400 West China (3 new fabs) 400 Sales 200 (2 new fabs) 200 0 0 2013 2014 2015 2016 2017 2018E Strong increase of ASML system sales in Shanghai China supported by a growing local team: (2 new fabs) 13 offices across China Central China 2 R&D centers (2 new fabs) Taiwan 1 training center South China 11 warehouses w fabs) 1,000 employees


LOGO

DUV Products and Business Opportunity Summary Public Slide 15 8 November 2018 • DUV immersion system revenues increased over the last decade to over 50%, and is an important driver of ASML’s growth • Over the next 5-7 years DUV sales expected to remain important and its composition is expected to change from mainly systems sales to about 50% systems and 50% Installed Base Management sales • ASML is systematically developing its DUV service and field upgrade product portfolio to meet customer requirements and improve performance and/ or extend the lifetime of its installed base, while optimizing its sales opportunities in this space • DUV is well prepared to maintain margins during this transition by: • an innovative DUV Technology Roadmap aligned with customer roadmaps • adopting commonalities between EUV and DUV module development • continuously improving its operations to become leaner and more efficient, while driving quality of systems, services and field options up


LOGO

Forward Looking Statements Public Slide 16 This document contains statements relating to certain projections, business trends and other matters that are forward-looking, including statements with respect to expected trends and outlook, 8 November 2018 strategy, bookings, expected financial results and trends, including expected sales, EUV revenue, gross margin, capital expenditures, R&D and SG&A expenses, cash conversion cycle, and target effective annualized tax rate, and expected financial results and trends for the rest of 2018 and 2019, expected revenue growth and demand for ASML’s products in logic and memory, expected annual revenue opportunity in 2020 and for 2025 and expected EPS potential in 2020 with significant growth in 2025, expected trends in the lithography system market, fab capacity by segment, the automotive and artificial intelligence industries, connectivity, semiconductor end markets and new semiconductor nodes, expected acceleration of chipmakers’ performance for the next decade, expected EUV insertion and transistor density growth, trends in DUV systems revenue and Holistic Lithography and installed based management revenues, statements with respect to expectations regarding future DUV sales, including composition, margins, improvement of operations and performance, DUV product roadmaps, expected benefits of the holistic productivity approach, including in terms of wafers per year, expected industry trends and expected trends in the business environment, statements with respect to customer demand and the commitment of customers to High NA machines and to insert EUV into volume manufacturing by ordering systems, expected future operation of the High NA joint lab, statements with respect to holistic lithography roadmaps and roadmap acceleration, including the introduction of higher productivity systems in 2019 (including the expected shipment of NXE:3400C and expected timing thereof) and the expected benefits, ASML’s commitment to volume manufacturing and related expected plans until 2030, ASML’s commitment to secure system performance, shipments, and support for volume manufacturing, including availability, timing of and progress supporting EUV ramp and improving consistency, productivity, throughput, and production and service capability enabling required volume as planned, including expected shipments, statements with respect to growth of fab capacity driving demand in lithography systems, planned customer fabs for 200 systems and expected first output in 2019, expected EUV value increase and increase in EUV margins and ASML’s expectation of EUV profitability at the DUV level, expected installed base of EUV systems, expected customer buildout of capacity for EUV systems, EUV estimated demand by market, expected increase in lithography intensity, statements with respect to the expected benefits of EUV, including year-on-year cost reduction and system performance, and of the introduction of the new DUV system and expected demand for such system, the expected benefits of HMI’s e-beam metrology capabilities, including the expansion of ASML’s integrated Holistic Lithography solutions through the introduction of a new class of pattern fidelity control, the extension of EUV to enable cost effective single patterning shrink with EUV, statements with respect to ASML’s applications business, including statements with respect to expected results in 2018, expected growth of the applications business and expected drivers of growth, expected growth in margins, continued shrink and drivers, and expected accuracy, defect control and performance improvements, shrink being a key driver supporting innovation and providing long-term industry growth, lithography enabling affordable shrink and delivering value to customers, DUV, Holistic Lithography and EUV providing unique value drivers for ASML and its customers, expected industry innovation, the expected continuation of Moore’s law and that EUV will continue to enable Moore’s law and drive long term value for ASML beyond the next decade, intention to return excess cash to shareholders through stable or growing dividends and regularly timed share buybacks in line with ASML’s policy, statements with respect to the expectation to continue to return cash to shareholders through dividends and share buybacks, and statements with respect to the expected impact of accounting standards. You can generally identify these statements by the use of words like “may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue”, “targets”, “commits to secure” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors, including the impact of general economic conditions on consumer confidence and demand for our customers’ products, competitive products and pricing, the impact of any manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of and demand for new products including EUV and DUV, the number and timing of EUV and DUV systems shipped and recognized in revenue, timing of EUV orders and the risk of order cancellation or push out, EUV production capacity, delays in EUV systems production and development and volume production by customers, including meeting development requirements for volume production, demand for EUV systems being sufficient to result in utilization of EUV facilities in which ASML has made significant investments, potential inability to successfully integrate acquired businesses to create value for our customers, our ability to enforce patents and protect intellectual property rights, the outcome of intellectual property litigation, availability of raw materials, critical manufacturing equipment and qualified employees, trade environment, changes in exchange rates, changes in tax rates, available cash and liquidity, our ability to refinance our indebtedness, distributable reserves for dividend payments and share repurchases, results of the share repurchase plan and other risks indicated in the risk factors included in ASML’s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.    


LOGO

Public INVESTOR DAY ASML SMALL TALK 2018 VELDHOVEN