EX-99.2 3 d650002dex992.htm EX-99.2 EX-99.2

Exhibit 99.2

 

LOGO

Public Company Strategy, Market Trends and Stakeholder Value Peter Wennink President and Chief Executive Officer


LOGO

Overview Public Slide 2 8 November 2018 • Healthy semiconductor end market growth fueled by major innovation Semi End drivers such as 5G Connectivity, Artificial Intelligence, Autonomous Markets Driving, and Big Data • Translates into growth of world-wide fab capacity in all segments, especially at the leading edge nodes • Moore’s law continues to enable industry growth and lithography is a key Lithography enabler to cost effective shrink Market • Strong growth opportunity in lithography beyond the next decade with a mix transition from DUV to EUV • We continue to execute our strategy and expand our product portfolio Stakeholder Value • Further driving growth opportunities and delivering value to our stakeholders


LOGO

Immersive devices will be the next computing wave Public Slide 3 8 November 2018 1,200 ‘Immersive era’ 1,000 Smartphone + apps era 800 Resolution Frame rate units of 600 Drivers Millions Color accuracy Contrast/brightness 400 PC + browser era 200 Broad applications • Entertainment • Visualization 1995 1997 1999 2001 2003 2005 2007 2009 2011 2013 2015 2017 • Gaming • Journalism • Medicine • Education Source: Lisa Su, AMD, “Immersive era in consumer computing”, IEDM, Dec. 2017


LOGO

The revolution in automotive Public Slide 4 8 November 2018 Autonomous and connected cars drive double digit growth to 80 B$ by 2025 Source: NVIDIA Corporate Presentation 2018


LOGO

Artificial Intelligence impacting multiple applications Public Slide 5 8 November 2018 Artificial Intelligence (AI) as a major industry disruptor will represent a >15B$ new revenue opportunity in semiconductors by 2022 Source: Gartner


LOGO

5G connectivity Public Slide 6 8 November 2018 >1,000,000 connections/km2 <100,000 connections/km2 5G 3G 4G 100-1000 Gb/s/km2 10 Gb/s/km2 1 Gb/s/km2 1 ms latency 100 ms latency 50 ms latency 5G connectivity speed and latency improvement drives applications with more volume and real-time use Source: Source: Several The Economist, public sources May 2017


LOGO

Advanced chips are needed to store and crunch data Public Slide 7 8 November 2018 44 LOGIC MEMORY Zetabytes (2020) Source: EMC Digital Universe report with Research & Analysis by IDC (2014)


LOGO

Major trends in semiconductor-enabled computing Public Slide 8 8 November 2018 • Autonomous decisions Applications • Immersive resolution • On-device Artificial Intelligence • Virtual / augmented reality Moore’s Law • 5G connectivity • Real-time latency Performance • Growing data volumes Algorithms Cost Data • From big data to value • Enhanced processing • Deep learning


LOGO

End market growth drives our opportunity Public Slide 9 8 November 2018 Mobile and PC maturing Continued growth in cloud applications Emerging connected devices market Smartphones, B$ Wired & wireless Infrastructure, B$ Automotive, B$ +6% CAGR 80 128 145 +10% CAGR 123 125 110 +4% CAGR 87 53 42 48 43 34 36 36 39 30 31 35 Tablets, B$ +3% CAGR 42 45 42 43 40 Servers, Datacenters & Storage, B$ Industrial Electronics, B$ 34 +12% CAGR 120 +11% CAGR 91 90 86 PCs, B$ 78 -1% CAGR 59 48 54 58 42 41 20 19 35 16 18 17 15 ’16 ’17 ’18E ’19E ’20E … ‘25E ’16 ’17 ’18E ‘19E ’20E … ‘25E ’16 ’17 ’18E ’19E ’20E … ’25E Source: Gartner through 2020, 2025 revenue extrapolated


LOGO

Expected content and unit growth of semiconductor end markets translates into growth of wafer demand in all segments Public Slide 10 PCs and laptops Smartphones and tablets Servers Automotive Consumer incl. wearables Other 8 November 2018 2015 2020 2025 CAGR 1.6 1.3 Logic / MPU1 0.5 +11% 1.7 Growing fab DRAM 1.5 capacity and node 1.2 Performance +4% memory transitions drive demand for our litho systems 2.5 2.0 +5% 1.5 NAND Storage memory Million Wafer Starts per Month 1 Advanced Logic and MPU nodes only £ 32 nm Source: Gartner device units 2017-2022; ASML model extrapolated through 2025


LOGO

New semiconductor nodes drive investments in wafer Public capacity Slide 11 8 November 2018 7000 6000 • Growing wafer capacity drives increased litho demand 5000 • New (leading edge) nodes with Capacity 4000 increased litho intensity further drives 3000 New nodes 300mm (kwspm) litho demand 2000 • Conversion of existing nodes to new WW 1000 nodes also provide additional upgrade Current nodes 0 opportunity 2010 2012 2014 2016 2018 2020 2022 2024 2026 New process nodes will be two thirds of the 300mm wafer volume by 2025 Source: ASML analysis


LOGO

Litho Intensity1 increasing for Logic and DRAM segments Public Slide 12 8 November 2018 Logic Performance Memory Storage Memory Logic Projected DRAM Projected 3D NAND Projected 50 >40 40 35% [%] 29% 30 27% 25% 25% 25% 24% Intensity 19% 20 15% 13% Litho 11% 10 0 10nm 7nm 5nm 3nm 1X 1Y 1Z 1A x64 x96 x128 x256 1 Litho Intensity = Litho CapEx fraction of total WFE CapEx for Greenfield fab investment


LOGO

Litho Intensity1 increasing for Logic and DRAM segments 3D XPoint expected to increase intensity for storage memory Public Slide 13 8 November 2018 Logic Performance Memory Storage Memory Logic Projected DRAM Projected 3D NAND Projected 50 Storage class >40 40 35% [%] 29% 30 27% > 27% 25% 25% 25% 24% Intensity 19% 20 15% 13% Litho 11% 10 0 10nm 7nm 5nm 3nm 1X 1Y 1Z 1A x64 x96 x128 x256 16L 1 Litho Intensity = Litho CapEx fraction of total WFE CapEx for Greenfield fab investment


LOGO

Our long term view on litho market indicates strong Public growth … with continued affordability Slide 14 8 November 2018 Market size value worldwide Litho CAPEX / Semi revenue CAGR over period (in %) Average over period (%) 6.2% 2.3% 2.3% 2.1% 4.9% 4.9% Semi End Markets 7.4% 1997-2010 2010-2017 2017-2025E 4.6% 3.5% Relative cost per function Semi Indexed at 2004 CAPEX 6.6% 7.5% Lithography 3.4% CAPEX 1997-2010 2010-2017 2017-2025E Single expose litho Multi patterning EUV Source: VLSI Research, ASML analysis


LOGO

EUV and ArFi account for >85% of litho system market Public Slide 15 8 November 2018 Litho Systems Revenue (%) 2017 2025E Dry Dry EUV ArFi EUV ArFi Mix moves from majority ArFi today to majority EUV by 2025 Source: ASML analysis


LOGO

Our strategy addresses challenges Public Slide 16 8 November 2018 Challenges ASML Strategy • Expand our Holistic Litho opportunities • Remain competitive in DUV • Bring EUV to high volume manufacturing • Extend EUV technology beyond the next decade


LOGO

ASML continues to provide value for our customers, Public shareholders, employees, and supply chain partners Slide 17 8 November 2018 We have realized productivity improvement for our customers We have outperformed industry indices Average wafers per day (best day of week), in thousands Share price development, index = 2010 800 6 700 5 +46% 600 4 500 3 400 300 2 200 1 100 0 0 10 11 12 13 14 15 16 17 18 10 11 12 13 14 15 16 17 18 We have created career opportunities for professionals We have commissioned sizeable business to our supply chain ASML total employees, in thousand FTE Cumulative revenue generated for supply chain partners, in B€ 25 35 30 20 25 15 20 +153% 10 15 5 10 5 0 0 10 11 12 13 14 15 16 17 18E 10 11 12 13 14 15 16 17 18E Source: Datastream, Gartner, S&P Capital IQ, Bloomberg, ASML


LOGO

Our Sustainable Development Goals Public Slide 18 Priority Our 2025 Ambition Contribution to SDG 8 November 2018 Have a highly engaged and employable PEOPLE workforce CIRCULAR Ensure more sustainable use and re-use of ECONOMY materials in ASML and the value chain CLIMATE Reduce carbon emissions of our operations & ENERGY and products INNOVATION Promote sustainable and responsible ECOSYSTEM business practices in the supply chain Re-enforce our core strategic activities RESPONSIBLE together with partners in the innovation eco SUPPLY CHAIN system & nurture future growth opportunities


LOGO

Summary: clear value creation opportunity Public Slide 19 8 November 2018 • Healthy semiconductor end market growth fueled by major innovation Semi End drivers such as 5G Connectivity, Artificial Intelligence, Autonomous Markets Driving, and Big Data • Translates into growth of world-wide fab capacity in all segments, especially at the leading edge nodes • Moore’s law continues to enable industry growth and lithography is a key Lithography enabler to cost effective shrink Market • Strong growth opportunity in lithography beyond the next decade with a mix transition from DUV to EUV • We continue to execute our strategy and expand our product portfolio Stakeholder Value • Further driving growth opportunities and delivering value to our stakeholders


LOGO

Forward Looking Statements Public Slide 20 This document contains statements relating to certain projections, business trends and other matters that are forward-looking, including statements with respect to expected trends and outlook, 8 November 2018 strategy, bookings, expected financial results and trends, including expected sales, EUV revenue, gross margin, capital expenditures, R&D and SG&A expenses, cash conversion cycle, and target effective annualized tax rate, and expected financial results and trends for the rest of 2018 and 2019, expected revenue growth and demand for ASML’s products in logic and memory, expected annual revenue opportunity in 2020 and for 2025 and expected EPS potential in 2020 with significant growth in 2025, expected trends in the lithography system market, fab capacity by segment, the automotive and artificial intelligence industries, connectivity, semiconductor end markets and new semiconductor nodes, expected acceleration of chipmakers’ performance for the next decade, expected EUV insertion and transistor density growth, trends in DUV systems revenue and Holistic Lithography and installed based management revenues, statements with respect to expectations regarding future DUV sales, including composition, margins, improvement of operations and performance, DUV product roadmaps, expected benefits of the holistic productivity approach, including in terms of wafers per year, expected industry trends and expected trends in the business environment, statements with respect to customer demand and the commitment of customers to High NA machines and to insert EUV into volume manufacturing by ordering systems, expected future operation of the High NA joint lab, statements with respect to holistic lithography roadmaps and roadmap acceleration, including the introduction of higher productivity systems in 2019 (including the expected shipment of NXE:3400C and expected timing thereof) and the expected benefits, ASML’s commitment to volume manufacturing and related expected plans until 2030, ASML’s commitment to secure system performance, shipments, and support for volume manufacturing, including availability, timing of and progress supporting EUV ramp and improving consistency, productivity, throughput, and production and service capability enabling required volume as planned, including expected shipments, statements with respect to growth of fab capacity driving demand in lithography systems, planned customer fabs for 200 systems and expected first output in 2019, expected EUV value increase and increase in EUV margins and ASML’s expectation of EUV profitability at the DUV level, expected installed base of EUV systems, expected customer buildout of capacity for EUV systems, EUV estimated demand by market, expected increase in lithography intensity, statements with respect to the expected benefits of EUV, including year-on-year cost reduction and system performance, and of the introduction of the new DUV system and expected demand for such system, the expected benefits of HMI’s e-beam metrology capabilities, including the expansion of ASML’s integrated Holistic Lithography solutions through the introduction of a new class of pattern fidelity control, the extension of EUV to enable cost effective single patterning shrink with EUV, statements with respect to ASML’s applications business, including statements with respect to expected results in 2018, expected growth of the applications business and expected drivers of growth, expected growth in margins, continued shrink and drivers, and expected accuracy, defect control and performance improvements, shrink being a key driver supporting innovation and providing long-term industry growth, lithography enabling affordable shrink and delivering value to customers, DUV, Holistic Lithography and EUV providing unique value drivers for ASML and its customers, expected industry innovation, the expected continuation of Moore’s law and that EUV will continue to enable Moore’s law and drive long term value for ASML beyond the next decade, intention to return excess cash to shareholders through stable or growing dividends and regularly timed share buybacks in line with ASML’s policy, statements with respect to the expectation to continue to return cash to shareholders through dividends and share buybacks, and statements with respect to the expected impact of accounting standards. You can generally identify these statements by the use of words like “may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue”, “targets”, “commits to secure” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors, including the impact of general economic conditions on consumer confidence and demand for our customers’ products, competitive products and pricing, the impact of any manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of and demand for new products including EUV and DUV, the


 

LOGO

Public IN INVESTOR DAY ASMLSMALLTALK2018 VELDHOVEN