EX-99.6 7 d826396dex996.htm EX-99.6 EX-99.6

Exhibit 99.6

 

 

LOGO

 

ASML

EUV

Frits van Hout

Executive Vice President & Chief Program Officer

24 November 2014

INVESTOR DAY

ASMLSMALLTALK2014

LONDON


LOGO

 

Forward looking statements

ASML

Public

Slide 2

November 2014

This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to our outlook, expected customer demand in specified market segments, expected sales levels and trends, our market share, customer orders and systems backlog, IC unit demand, expected or indicative financial results or targets, including revenue, gross margin, expenses, gross margin percentage, opex percentage of sales, tax percentage, cash conversion cycle, capex percentage of sales, credit rating and earnings per share, expected shipments of tools and the timing thereof, including expected shipments of EUV and DUV tools, productivity of our tools and systems performance, including EUV system performance (such as endurance tests), the development of EUV technology and timing of shipments, development in IC technology, including shrink scenarios, NAND technology development and cost estimates, expectations on development of the shrink roadmap across all of our systems, upgradeability of our tools, system orders, customer transition estimates, expected transition scaling, forecasted industry developments, including expected smartphone, tablet and server use in future years, and expectations relating to new applications including wearable devices and connected devices, expected investment pay-back time for foundries, expected construction of additional holistic lithography infrastructure, the continuation of Moore’s Law, and our dividend policy and intention to repurchase shares. You can generally identify these statements by the use of words like “may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them.

Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), the impact of general economic conditions on consumer confidence and demand for our customers’ products, competitive products and pricing, affordability of shrink, the continuation of Moore’s Law, the impact of manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products and customers meeting their own development roadmaps, market demand for our existing products and for new products and our ability to maintain or increase or market share, the development of and customer demand for multi-patterning technology and our ability to meet overlay and patterning requirements, the number and timing of EUV systems expected to be shipped, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, EUV system performance and customer acceptance, availability of raw materials and critical manufacturing equipment, trade environment, our ability to reduce costs, changes in exchange rates and tax rates, available cash, distributable reserves for dividend payments and share repurchases, changes in our treasury policy, including our dividend and repurchase policy, completion of sales orders, the risk that key assumptions underlying financial targets prove inaccurate, including assumptions relating to market share, lithography market growth and our customers’ ability to reduce productions costs, risks associated with Cymer, which we acquired in 2013, and other risks indicated in the risk factors included in ASML’s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise.


LOGO

 

ASML

Public

Slide 3

November 2014

Outline

New technology transitions: customer perspective

EUV progress & plans

EUV infrastructure

EUV extendibility


LOGO

 

How customers approach new technology insertions

ASML

Public

Slide 4

November 2014

Visionary/champion

R&D enthusiastic

First results

Business manager “Shouldn’t we go for this?”

Manufacturing push back

Tough criteria, entrance hurdles

Dynamics: progress vs. milestones

1.5 – 2 year lead time

Business decision with up/down clicks

Different risk appetite per customer and per segment


LOGO

 

Technology transitions: decisions based on early results

“You have to move to where the puck will be, not where it is” (Wayne Gretzky)

ASML

Public

Slide 5

November 2014

Performance

Decision point

Desired performance at the time of volume ramp

Lead time lengthens due to increasing complexity

Time


LOGO

 

Dilemmas when adopting a game-changing technology

ASML

Public

Slide 6

November 2014

It works

It does not work

We have it

We do not have it


LOGO

 

ASML

Public

Slide 7

November 2014

Outline

New technology transitions: customer perspective

EUV progress & plans

EUV infrastructure

EUV extendibility


LOGO

 

EUV status:

Demonstrated >500 wafers per day at customer sites

ASML

Public

Slide 8

November 2014

More than 500 wafers per day demonstrated during endurance tests at 2 customer sites

7 NXE:3300B systems qualified and shipped to customers

4 more NXE:3300B systems being manufactured, one more shipment planned for Q4 2014

4th generation NXE system (NXE:3350B) integration ongoing

EUV cleanroom extension is under construction


LOGO

 

Wafers per day program: Today

ASML

Public

Slide 9

November 2014

Improvement of CE to 4% demonstrated at customers

Reduced dose margin with advanced controls

Demonstrated source operation up to ~100W at customer site

Improved design in NXE:3350B

Conversion efficiency

Drive laser power

Dose margin

Laser to droplet control

Optical transmission

Overhead optimization

Stage accuracy at high speed

Exposure dose

Drive laser reliability

Droplet generator reliability

Collector lifetime

Automation

POWER

AVAILABILITY

SCANNER

>500 W ters

per day

2014

Improved automation algorithms

30% improvement in collector lifetime

Faster resist formulations demonstrated


LOGO

 

NXE:33x0B demonstrated power supports >1000 wpd

Up to 7 systems operational at >40W; 100W source operation demonstrated

ASML

Public

Slide 10

November 2014

Expose speed @ dose-to-clear [cm2/s]

100 90 80 70 60 50 40 30 20 10 0

NXE: 3100

Proto

Expose speed

Expose speed 3350B (calc.)

Projected WPD

NXE:3300B

Demonstrated WPD at multiple customer sites (@customer conditions)

Lot overhead improvements

NXE:3350B

40W

80W

100W

80W

100W

2Q12 2Q13 4Q13 1Q14 2Q14 3Q14 Oct. Oct. Oct. 3350B 3350B

Time

1200 1000 800

600

400

200

0

Equivalent Productivity

@ 15 mJ/cm2, 50% efficiency [w/day]

Dose-to-expose is 2.5x dose-to-clear

Productivity: field size 26x33 mm2, 96 fields/wafer, 50% efficiency

NXE:3350B data calculated using measured transmission of last system


LOGO

 

Stable operation at customer site: continuous use at power level during more than 2 months operation at >40W

ASML

Public

Slide 11

November 2014

System pulse count

Accumulated pulse count (Gp)

20 18 16 14 12 10 8 6 4

2

0

23-Jul

30-Jul

6-Aug

13-Aug

20-Aug

27-Aug

3-Sep

10-Sep

17-Sep

24-Sep

1-Oct

Power of >40W since start use system

Source power [Watt]

60

50

40 30 20 10

0

23-Jul

30-Jul

6-Aug

13-Aug

20-Aug

27-Aug

3-Sep

10-Sep

17-Sep

24-Sep

1-Oct

Courtesy of IBM


LOGO

 

Excellent collector performance - remains clean during more than 2 months operation at >40W

ASML

Public

Slide 12

November 2014

System pulse count

Accumulated pulse count (Gp)

20 18 16 14 12 10 8 6 4 2 0

23-Jul

30-Jul

6-Aug

13-Aug

20-Aug

27-Aug

3-Sep

10-Sep

17-Sep

24-Sep

1-Oct

Stable collector reflectivity

Collector reflectivity [%]

100 90 80 70 60 50 40 30 20 10 0

23-Jul 30-Jul 6-Aug 13-Aug 20-Aug 27-Aug 3-Sep 10-Sep 17-Sep 24-Sep 1-Oct

Courtesy of IBM


LOGO

 

Continuous stable source operation at 80W for 24 hrs.

ASML

Public

Slide 13

November 2014

Power (W)

80 60 40 20 0

Power (a.u.)

Open Loop Power

Margin

Closed Loop Power

Time

50

40

30

20

10

0

Dose margin (%)

0 3 6 9 12 15 18 21 24

Time (hours)

tsmc


LOGO

 

100W Power demonstrated

60 min run 96% die yield (45min 99.9% yield)

ASML

Public

Slide 14

November 2014

EUV (Mean+/-99.7%) [mJ]

2

1

0

In Spec

Out of Spec

0

500

1000

1500

2000

2500

3000

3500

time [sec]

Power

(Mean) [W]

110

100

90

80

0

500

1000

1500

2000

2500

3000

3500

time [sec]

Overhead

(Mean+/-99.7%) [%]

20

10

0

0

500

1000

1500

2000

2500

3000

3500

time [sec]

In Spec

Out of Spec

Dose Error [%]

2

1

0

0

500

1000

1500

2000

2500

3000

3500

time [sec]

Good Dies (Exposures) [%]

100

80

60

40

20

0

-2

-1

0

10

10

10

Error

1.0% Error

99.9%

Dose Error [%]


LOGO

 

Wafers per day program: Next steps

ASML

Public

Slide 15

November 2014

Conversion efficiency

Drive laser power

Dose margin

Laser to droplet control

Optical transmission

Overhead optimization

Stage accuracy at high speed

Exposure dose

Drive laser reliability

Droplet generator reliability

Collector lifetime

Automation

POWER

AVAILABILITY

SCANNER

>1000 WPD

in 2015

>1500 WPD

in 2016


LOGO

 

Source power roadmap in place for 250W

ASML

Public

Slide 16

November 2014

Modular upgrades extend current performance to 80W and beyond

250W achievable while reducing HW changes / upgrade complexity

Current Drive Laser configuration

High Power DL

EUV power [W]

300

250

200

150

100

50

0

done, proven

to be measured

development

feasibility / concept

13kW

MP on droplet

UP1

UP2

14.5kW

MP on droplet

Extendibility

125W config.

19kW

MP on droplet

27kW

MP on droplet

250W config.

Status Q4 2013

90% DC, 20kW CO2, 2.5% CE

3% CE, 25% Dose margin

100% DC, CE 3% -> 3.5%

Re-Expose/LFC, dose 25%-> 20%

EUV stab., dose 20% -> 10%

CO2 PA Optimization

Collector refl. 38 -> 40%

High Power Amplifier Chain

High Power Seed System

Collector refl. 40 -> 41%

CE 3.5% -> 4.5%


LOGO

 

Availability roadmap in place towards >90%

ASML

Public

Slide 17

November 2014

System availability [%]

100

90

80

70 60 50 40 30

20

10

0

done, proven

to be measured

development

feasibility / concept

Collector lifetime:

Flows, heated vanes

In-situ cleaning

Droplet Generator:

Warm swap tool

Reliability

Seed Table:

EOM, seed laser reliability

Droplet Generator:

Liquid tin refill

Tin catch:

In-line draining

Vessel:

Gas & vacuum

redesign

Seed Table:

High Power Seed

Table

Droplet Generator:

In-line refill

Drive Laser/Beam delivery

MTBI / MTTR

improvements

Scanner and source:

Improved diagnostics

Predictive

maintenance

Today 2015 2016 Target 2017

Time


LOGO

 

NXE:33x0B Industrialization Roadmap supports >1500 wafers per day in 2016

ASML

Public

Slide 18

November 2014

Timing Source power Throughput Efficiency* Productivity

[W] [Wafers/hr] [%] [Wafers/day]

2014 80 >55 <50% >500

2015 125 >75 >50% >1000

2016 250 >125 >55% >1500

*Efficiency = system availability x customer utilization x customer rate efficiency Illustrative numbers used for WPD model


LOGO

 

Excellent and stable overlay matching to immersion

Full-wafer matched machine overlay < 4nm at 40W, stable over 2 weeks

ASML

Public

Slide 19

November 2014

MMO [nm]

10

7.5

5

2.5

0

1 2 3 4 5 6

Wafer – one day after setup

Customer A

MMO [nm]

8

6

4

2

0

Lot (3.3, 3.0)

10 nm

99.7%

x: 3.3 nm

y: 3.0 nm

Aug 4 Aug 8 Aug 17

Wafer – Baseliner controlled

Customer B: 6par/field; field fingerprint and flyers removed


LOGO

 

Optical performance meets 10nm & 7nm requirements

ASML

Public

Slide 20

November 2014

CD requirements by node

CD [nm]

80 70 60 50 40 30 20 10 0

CD performance OK for 7nm

20 nm 16 nm 10 nm 7 nm

Logic Node

EUV (single expose)

dose ~20mJ/cm2

Tip-to-tip

27nm

Tip-to-line

19nm

dose ~45mJ/cm2

Lines and spaces

16nm


LOGO

 

Illuminator and mask optimization (Applications) allows productivity increase (lower dose) while maintaining imaging performance

ASML

Public

Slide 21

November 2014

Conventional Dose ~46 mJ/cm2

No OPC

focus

-80nm

-60nm

-40nm

-20nm

0nm

20nm

40nm

60nm

80nm

DOF 120nm

Quasar

Dose ~20 mJ/cm2

NXE OPC

DOF

120nm

OPC=Optical Proximity Correction

DOF=Depth of Focus

NXE:3300B, 10nm logic metal 1 layer, 45nm pitch

ST

life.augmented


LOGO

 

Source Mask Optimization and FlexPupil maximize process window (Holistic Applications)

ASML

Public

Slide 22

November 2014

Process window

(max pattern shift allowed: 0.45nm)

Exposure latitude (%)

20

15

10

5

0

Process window improved 52%

0 20 40 60 80 100 120

DOF (nm)


LOGO

 

ASML

Public

Slide 23

November 2014

Outline

New technology transitions: customer perspective

EUV progress & plans

EUV infrastructure

EUV extendibility


LOGO

 

EUV mask infrastructure viable for 10nm, improvements required for 7nm

ASML

Public

Slide 24

November 2014

10 nm 7 nm 5 nm Players

Deposition

Blank

Inspection

Patterning

Etch

Mask Clean

patterning Inspect

Defect review

Repair

Mask Mask pellicles

handling Mask Pod

Veeco

KLA Tencor

NUFLARE

ETERISTM

SUSS+MicroTec

KLA Tencor

HMI HERMES MICROVISION

ETERISTM

Lasertec ZEISS

ZEISS RAVE

ASML

Entegris

ETERISTM

Lasertec

JEOL

Secured

Improvements req’d

Source: ASML Research, VLSI

View confirmed by recent Sematech report (June 2014)


LOGO

 

Photoresist progress on full field exposure systems

Resist is at an acceptable performance level for the 10nm chip generation

ASML

Public

Slide 25

November 2014

Resist resolution trend on Full Field Exposure systems

CD (nm)

50

45

40

35

30

25

20

15

10

L/S, 10-20mJ

L/S, 20-50mJ

CH, 10-50mJ

L/S Target

16nm

2006

2007

2008

2009

2010

2011

2012

2013

2014

ADT

NXE:3100

NXE:3300

Resolution w/o

post-processing

C/H with <15% LCDU

L/S with <20% LWR

Status L/S:

22nm OK

No progress last year

16nm OK

Status C/H:

22nm OK

ADT, NXE:3100, NXE:3300 as measured by ASML/ IMEC


LOGO

 

ASML

Public

Slide 26

November 2014

Outline

New technology transitions: customer perspective

EUV progress & plans

EUV infrastructure

EUV extendibility


LOGO

 

NXE product strategy includes extendibility of installed base

Estimate 50-60 systems/year by 2020 based on broad adoption in both logic & memory sectors

ASML

Public

Slide 27

November 2014

2015 2016 2017 2018 2019 2020

NXE:3300B

NXE:3300B

Specs:

22 nm | 5.0nm | 110nm

OFP 3300B (2-phase roll-out)

Specs:

16nm | 3.0nm | 80nm

NXE:3350B

NXE:3350B

Specs:

16nm | 2.5nm | 70nm | 125 wph

Key configuration items:

3350 Lens & Illuminator

Reticle Stage & Wafer Stage improvements

Align system improvements

Illuminator + OFP 3350B

Specs:

13 nm | 2.0nm TBC | 60nm TBC | 125wph

Key configuration items:

Improved Illuminator

Scanner and applications improvements

NXE:3400B

(under study)

NXE:3400B

Specs: 13nm | 2.0nm | 60nm | 125 wph

Key configuration items:

Improved Illuminator

Reticle stage and Wafer stage improvements.

Additional options for focus & overlay improvements

Version Sep ‘14

Product

Specs: Resolution | Matched Machine Overlay

| Focus budget | Throughput

Upgrade Product

Specs: Resolution | Matched Machine Overlay

| Focus budget | Throughput

Product Release milestone green : system blue: upgrade

OFP=Overlay Focus Package


LOGO

 

EUV roadmap has extendibility through many nodes

ASML

Public

Slide 28

November 2014

Extend NA 0.33 to

below 10nm

Improved lens and illuminator performance

Imaging / Overlay performance match node requirements

Increased throughput at higher dose

Under study

Node [logic] 22/20 14 10 7 5 5 3 2

Resolution HP [nm] 32 27 22 16 13 10 7 <7

0.25 0.33 0.33NA DPT

NA

Lens

>0.50 NA

flare 8% 6% 4%

coherence =0.5 =0.8 =0.2-0.9 Flex-OAI Extended Flex-OAI

Illumination

reduced pupil fill ratio

Overlay

DCO [nm] 7 4.0 3.0 1.5 1.2 1.0

MMO [nm] - 7.0 5.0 2.5 2.0 1.7

Dose [mJ/cm2] 5 10 15 20 20 20

TPT

(300mm)

Power [W] 3 10 - 105 80 - 250 250 250 500

Throughput [w/hr] - 6 - 60 50 - 125 125 125 165

pupil fill ratio defined as the bright fraction of the pupil


LOGO

 

“WHEN” not “IF”

ASML

Public

Slide 29

November 2014

Customers have different approaches & criteria regarding adoption of new technology which provides differences in exact timing of “WHEN”

EUV will go to volume production

– EUV will be used in 10nm Logic, systems 2H15 for 2016 production

EUV is making good progress on WPD roadmap

– 500wpd demonstrated at multiple customers

– Roadmap in place to deliver 1500 WPD in 2016 when this performance is needed in manufacturing

– Continuing to focus on consistency to drive Availability to >90%

EUV imaging & overlay performance meeting customer requirements for 10nm and 7nm nodes

EUV infrastructure making significant progress, currently acceptable for 10nm node. Improvements required for volume production at 7nm node

EUV roadmap in place to provide extendibility into next decade

Estimated 50-60 systems per year by 2020 based on broad adoption in both logic & memory sectors


LOGO

 

ASML

INVESTOR DAY

ASMLSMALLTALK2014

LONDON