EX-99.1 2 d500315dex991.htm EX-99.1 EX-99.1

Exhibit 99.1

 

LOGO

ASML London 12 March, 2013 UBS Technology Conference


LOGO

ASML Forward looking statements “Safe Harbor” Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements, including statements made about our outlook, including expected research and development expenditures, expected shipments of tools and productivity of our tools, our business model, and lithography systems development, including the development of EUV and immersion technology and related system capacity. These forward looking statements are subject to risks and uncertainties including, but not limited to: economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers’ products, competitive products and pricing, the impact of manufacturing efficiencies and capacity constraints, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, available cash, distributable reserves for dividend payments and share repurchases, risks associated with our co-investment program, including whether the 450mm and EUV research and development programs will be successful and ASML’s ability to hire additional workers as part of the 450mm and EUV development programs, our ability to successfully complete acquisitions, including the Cymer transaction or the expected benefits of the Cymer transaction. The foregoing risk list of factors is not exhaustive. You should consider carefully the foregoing factors and the other risks and uncertainties that affect the business of ASML described in the risk factors included in ASML’s Annual Report on Form 20-F and other documents filed by ASML from time to time with the SEC. ASML disclaims any obligation to update the forward-looking statements contained herein. Public Slide 2


LOGO

ASML ASML Business Strategy Public Slide 3


LOGO

ASML Business Model – Focus on “right products on time” Our business model is derived from our lithography “Value of Ownership” concept which is based, amongst others, upon the following principles: •Execute an appropriate level of R&D to offer the required technologies in order to provide acceptable cost for high volume production at the earliest possible date to support our customer’s roadmaps (Moore’s Law) •Offering ongoing improvements in imaging, overlay and productivity •Providing high quality customer support, enhancing installed base capabilities, improving system reliability and uptimes •Reducing cycle times between customer order and equipment use in production •Expanding operational flexibility in R&D and manufacturing Public Slide 4


LOGO

ASML NXE:3300B, NXE:3350, … NXT:1950i, NXT:1960Bi, NXT:1970Ci Affordable shrink roadmap 2012 2013 2014 2015 2016 2017 450mm 2018 2019 2020 EUV Immersion QXT, QXE Slide 5 Public


LOGO

ASML Public Slide 6 Focus on dual product strategy to match customer roadmaps •Preparing EUV platform for volume manufacturing of critical layers with imaging to 10nm and beyond •Introduce 450mm capability Over 420 ASML immersion systems in use today 6 ASML EUV system in use today TWINSCAN NXT—Immersion TWINSCAN NXE—EUV •Continuous improvement in throughput, overlay and imaging (CDU) optimized for multi-pass patterning at 20nm and below •Introduce 450mm capability


LOGO

ASML Public Slide 7 ASML Immersion Product Roadmap NXT:1950i provides performance extendibility until EUV adoption TWINSCAN NXT Extendibility Upgradeability 2011 Extensions 2012 Extensions 2013+ Matched Machine Overlay 5.5nm 4.5nm 3.5nm On Product Overlay 9nm 6nm 4nm * CDU 3nm 1.5nm 1nm Total focus control budget 110nm 90nm 70nm Throughput (96 shots) 190 WPH 230 WPH 250 WPH Defects (ASML test) 10 defects/Wafer 10 defects/Wafer <7 defects/Wafer * OPO 5nm Matched, 4nm Dedicated Chuck


LOGO

ASML ASML’s Holistic Lithography Solutions support multi-pass patterning at 20nm and beyond, will support EUV in future Yieldstar metrology systems and Litho InSight software roadmap supports full integration to deliver improved On-Product Performance for 20 nm node and below ASML Scanner Process window enlargement Process window control Wafer Track Public Slide 8 ASML Yieldstar application platform ( one per fab )


LOGO

ASML EUV progress encouraging •Imaging on the production capable NXE:3300B, shows excellent single imaging results down to 13 nm •Demonstrated fully integrated EUV source showing extended, stable exposure power up to 40 Watts, good dose control, full field exposures •55 Watts shown in short runs with good key parameter control •Source design tested successfully at 60 Watts with good debris control •11 system ship plan for 2013 Public Slide 9


LOGO

ASML Public Slide 10 Eleven NXE:3300B systems in various states of integration in new clean room completed in 2012 System 3 System 1 System 9 System 4 System 7 System 5 System 6 Development tool System 2 System 8 Training 10 Tool New cleanroom


LOGO

ASML Punlicl Slide 11 Under study Resolution [nm] 32 27 22 16 13 10 7 <7 layout NA 0.25 0.33 0.45 0.60 0.45-0.60 DPT # mirrors 6 8 6 or 8 13.5 Lens flare 8% 6% 4% Illumination Flex-OAI s=0.8 Extended Flex-OAI reduced pupil fill ratio 0.33NA DPT s=0.5 s=0.2-0.9 coherence NXE technology roadmap has great extendibility first illumination optimization on NA 0.33 system Wavelength [nm] 4.0 7 3.0 DCO [nm] MMO [nm] 7.0 —5.0 1.2 1.5 1.0 2.0 2.5 1.7 Overlay 10 5 15 Dose [mJ/cm2] Power [W] 10—105 3 80—250 20 15 250 250 TPT (300mm) Throughphut [w/hr] 6—60 —50—125 125 125 20 500 165


LOGO

ASML ASML intends to spend € 750 800 million in R&D in 2013 Co-Investment participants are expected to contribute € 1.4 Billion in cash for R&D in 2013-2017 Co-Investment will contribute to Acceleration of EUV development of machines and sources including next generation EUV systems Development of 450 mm litho tools targeting pre-production systems in 2016 and production systems in 2018 (300mm and 450mm compatible) 450 mm ASML Customer Co-Investment Program allows increased R&D investments for key programs Public Slide 12


LOGO

ASML ASMLCymer merger agreement status In Q4 2012, we announced the intended cash-and-stock acquisition of lithographic light source supplier Cymer As part of the regulatory review process, clearance has been granted by the Committee on Foreign Investment in the United States (CFIUS), the German, Israeli and Taiwanese anti-trust authorities Merger agreement approved by Cymer ,s shareholders February 2013 Awaiting regulatory approvals from US, Japan and Korea We continue to expect the transaction to close in H1 2013 17 January 2013 Public Slide 13


LOGO

ASML