EX-99.3 4 u55770exv99w3.htm EX-99.3 ASML
FBR Capital Markets 12th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29, 2008


 

Safe Harbor Forward Looking Statements "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements, including statements made about our outlook, realization of backlog, IC unit demand, financial results, average sales price, gross margin and expenses. These forward looking statements are subject to risks and uncertainties including, but not limited to: economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), competitive products and pricing, manufacturing efficiencies, new product development and customer acceptance of new products, ability to enforce patents and protect intellectual property rights, the outcome of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates and other risks indicated in the risk factors included in ASML's Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission.


 

ASML - the world's largest supplier of lithography equipment Source: Semi & ASML Leadership in immersion for volume chip manufacturing Canon 11% ASML 65% Nikon 24% KLA Tencor ASML Tokyo Electron Lam Research Applied Materials Revenue evolution Top 5 semiconductor equipment suppliers - 2007 Market share 2007


 

The Market


 

Market in general Global economic weakness drives slowdown of overall 2008 semiconductor capex forecast by analysts and customers DRAM makers reduce capacity plan and are driving prices of memory chips up Two Flash makers delayed new factories, flash prices continue to be weak Customer factory utilization rates remain high Independent market researchers are uncertain about semiconductor growth rates


 

ASML affected by changing market environment Recent capacity delay decisions by one DRAM factory expansion and two new Flash factories impact Q1 orders and '08 outlook Rationalization efforts amongst Taiwanese DRAM players ongoing Overall economic uncertainty causing caution at Foundries Demand for immersion tools remain strong


 

ASML's assessment of 2008 worldwide lithography demand March 2008 ASML shipped 260 machines in 2007 with ASP of € 12.9 million ASML expects market share gains and substantial ASP growth in 2008 Numbers include new and used equipment Source: ASML April 2008 April 2008


 

Industry analyst 2008 memory forecasts Source: ASML MCC Unit Growth Time of Forecast DRAM Unit Growth NAND Unit Growth Gartner IC Insights VLSIR


 

Recent positive DRAM Developments Pricing rising/stabilizing Samsung raises capex $1 billion Micron/Nanya plan to convert Nanya Fab 2 from 200mm to 300mm state of the art DRAM processing ProMOS signed a 54nm technology license agreement with Hynix. ProMOS expects to pilot 54nm production in late 2008/early 2009 Elpida Memory Inc. forms a tie-up with Qimonda for the development and fabrication of next-generation DRAM by jointly developing 40-nanometer processing technology; they also aim to co-develop 30nm processing technology


 

2008 : Foundry 65nm ramp, MPU 45nm, 5xnm DRAM (1Gb), 4xnm NAND (32Gb), 3xnm process development with DPT 10 12 Resolution, "Shrink" (nm) 200 100 80 60 40 Logic DRAM NAND 11 07 09 08 04 06 05 01 03 02 00 ASML Product Introduction XT:1400 XT:1700i AT:1200 AT:850 Double Patterning XT:1900i EUV Immersion EUV DPT


 

65nm Pilot 90nm Pilot N90 Qualification Period N90 Production Ramp Source: TSMC Quarterly Reports FOUNDRY Sales by process technology: 65nm starts volume ramp in 2008


 

FOUNDRY Bi-annual capacity increase pattern* suggests improved 2H/08 Foundry utilization triggers ASML litho equipment purchases Source: ASML MCC (SAP-IFP update 12/07) * Utilization seems to drop mainly as result of capacity additions. If pattern holds, foundry will likely add capacity again in 2008. This is not a forecast. 2002 2003 2004 2005 2006 2007 2008 TWINSCAN Add Point


 

ASML technology leader


 

Source: ASML Immersion technology used in volume production for 55 nm resolution and beyond ASML immersion installed base 86 tools 25 in the US 53 in ASIA, (16 in Japan) 8 in Europe


 

Technology drives ASML product acceptance Japanese memory manufacturers embracing ASML Immersion solutions ASML KrF is showing clear advantage for CCD CMOS Image sensor application in Japan. Key device at Japanese plasma TV manufacturer to be made using ASML Immersion systems ASML Immersion systems selected by a Japanese manufacturer for microprocessor production


 

Next generation lithography: EUV Prototypes shipped in 2006 Five Pilot production machines to ship 2010 Albany Leuven


 

/ Slide 17 EUVL Roadmap down to 11 nm support 22 nm and 16 nm node with a single projection system same projection system, enhanced off-axis illumination implementation volume production Res 11 nm 16 nm 22 nm 27 nm 2014 2015 2013 2010 2011 2012 0.32 NA +off axis illumination 0.32 NA, 3 nm OVL, >100 wph 0.25 NA, 4 nm OVL 0.4x NA


 

/ Slide 18 Strong DPT EUV DFM supported low k1, light DPT EUV Jan-02 Jan-03 Jan-04 Jan-05 Jan-06 Jan-07 Jan-08 Jan-09 Jan-10 Jan-11 Jan-12 Jan-13 Jan-14 Year of Production Start* Resolution, "Shrink" [nm] 100 80 60 Logic NAND Flash DRAM 30 20 50 *Process development 1.5 ~ 2 years in advance (updated 12/07) 200 Double patterning will bridge the gap between single exposure 193 nm immersion and EUV AT:1200 XT:1400 XT:1900i Next EUV ASML product Introduction 40 XT:1700i


 

/ Slide 19 Spacer DPT | SPCR 32nm Litho DPT - LELE | LDPT 32nm Litho DPT - LFLE | LDPF 32nm Double exposure | DE 38 nm SiON /HM Etch Clean Strip Film Etch Metrology Develop Expose Top coat Resist BARC SiON / SiC Hard Mask Device film Si Single exposure | SE 45nm Options to print below immersion single exposure limit Cost, complexity and cycle time *Wafer does not leave the exposure system between the two exposures *Wafer preferably does not leave the litho cell between the exposures *Wafer leaves litho cell for etch between the exposures


 

Critical layer litho cost outlook : 32 & 22nm options Single exposure schemes more cost effective 0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 45nm 32nm options 22nm options ArFi Single Pattern Positive Spacer 2x Litho Negative Spacer 2x Litho EUV Single Pattern 2x Litho Etch Normalized Litho Cost per Layer Fixed Operating Source Chemical CVD Metrology Etch Clean CMP Reticle Reticle cost based on 5000 wafers / mask usage EUV Single Pattern 2x Litho Etch Positive Spacer 2x Litho Negative Spacer 2x Litho


 

Business summary


 

Q1 result highlights 8th consecutive quarter with sales over € 900 million Operating margin of 20.5% Cash generation € 263 million from operations Backlog at €1,167 million (booked 26 system in Q1) Shipped 14 immersion tools Record average selling price for new shipped systems of € 18.7 million


 

Total net sales M€ 1,543 2,465 2,529 3,597 3,768 *2007 numbers are adjusted retrospectively with respect to the change in accounting policy.


 

Net sales breakdown in value: Q1 2008 End-use Foundry 13% Numbers have been rounded for readers' convenience Technology KrF 14% i-Line 3% ArF dry 32% USA 24% Taiwan 15% Korea 32% Japan 7% Europe 7% Sales in Units Region Other 1% ArF immersion 57% Memory 64% IDM 23% China 14%


 

Backlog lithography in value per March 30th, 2008 Total value M€ 1,167 = 65 systems Technology i-Line 3% ArF immersion 57% KrF 9% ArF dry 31% Region USA 20% Taiwan 13% Korea 30% Other 6% Europe 13% Japan 9% China 9% End-use Memory 56% IDM 30% Foundry 14% Numbers have been rounded for readers' convenience


 

Outlook


 

Q2 2008 outlook ASML expects to ship 42 systems ASP for new + refurbished systems expected to be € 17.0 million Gross margin approximately 40% R&D is expected at € 130 million net of credit SG&A is expected at € 58 million Given current market weakness, we are trimming Manufacturing and SG&A variable costs for 2008 second half while keeping R&D stable


 

Outlook Order pattern translates into weaker net sales for next 2 quarters We expect gradual unit order pick-up from Q2 onwards, due to: Improvements in memory supply/demand balance Volume production ramps of 45nm node Flash memory to start in H2 2008 Foundry contribution ASML's market share gains Due to advanced technology tool mix, Q2 bookings value increase will be significant