EX-99.1 2 u09087exv99w1.htm EXHIBIT 99.1 exv99w1
EXHIBIT 99.1
Media Relations Contacts
Lucas van Grinsven — Corporate Communications — +31 40 268 3949 — Veldhoven, the Netherlands
Ryan Young — Communication US — +1 480 383 4733 — Tempe, Arizona, USA
Investor Relations Contacts
Craig DeYoung — Investor Relations — +1 480 383 4005 — Tempe, Arizona, USA
Franki D’Hoore — Investor Relations — +31 40 268 6494 — Veldhoven, the Netherlands
ASML Immersion Systems Reach ‘Million Wafer Club’ Status at Korean Memory Manufacturers
Veldhoven, the Netherlands, May 26, 2010 — ASML Holding NV (ASML) today announces that four TWINSCAN XT:1900Gi lithography systems have joined ASML’s “One Million Wafer Club” of scanners that have processed more than one million silicon wafers within 12 months, underlining the importance and acceptance of this advanced technology in mainstream chip manufacturing.
The four XT:1900Gi systems, the first immersion scanners to reach the million wafer milestone, operate at two Korean chipmakers in three different facilities in two countries. Several more immersion systems at these sites are expected to reach this same milestone in the next few months. The XT:1900Gi immersion systems join an additional 161 “dry” systems in the One Million Wafer Club.
Productivity is a key driver of cost of ownership. High-productivity platforms, like TWINSCAN, provide chipmakers with higher yield. During the record-setting 12 month run, the four XT:1900Gi systems helped manufacture more than 500 million chips.
“The ability of any lithography system to process a million wafers in one year is an achievement in product performance and reliability,” said Hoon Shin, president, regional operations-Korea, China & Singapore. “To accomplish this on an immersion system requires close cooperation between ASML and our customers and dedication by both parties to maximize productivity and yield.”
“Immersion lithography has gone from concept to routine manufacturing technology in just a few short years,” said Frits van Hout, executive vice president and chief marketing officer at ASML. “We have always provided the highest value of ownership to our customers through a focus on real-world productivity. To reach this level of performance with our immersion systems demonstrates that commitment continues on even the most advanced systems.”

 


 

TWINSCAN XT:1900Gi systems feature the industry’s largest numerical aperture of 1.35 and enable productivity rates of greater than 131 wafers per hour. ASML has shipped more than 180 TWINSCAN immersion systems in total and immersion units made up 89 percent of ASML’s order book at the end of Q1 2010.
ASML’s newest immersion scanner, the TWINSCAN NXT platform will drive throughput to 200 wafers per hour with critical dimension imaging uniformity below 1 nanometer (nm) and overlay less than 2 nm. TWINSCAN NXT system performance enables the use of double-patterning technology for continue device shrink. As of March 31, 2010 ASML had shipped nine NXT:1950i systems and counted another 28 units in the order book with a value of more than one billion Euros.
About immersion lithography
Immersion lithography, which uses water between the lens and the silicon wafer to improve imaging resolution, was introduced in 2004 to enable chip manufacturers to continue the chip industry’s roadmap known as Moore’s Law which says that by shrinking the size of chip features the cost of computing power will halve every two years. Immersion lithography posed many new engineering challenges associated with water in an electronic system, and the one million wafer productivity milestone proves that immersion lithography has become a reliable cornerstone of the semiconductor industry.
About double patterning
Double Patterning Technology (DPT) describes a variety of lithography techniques that involve splitting a complex layer pattern into two simpler patterns, and exposing them separately to recreate the original layer pattern on the wafer. This allows features to be created on the wafer that are smaller than could be resolved by the scanner in a single exposure. The accuracy required to align the multiple patterns places much tighter critical dimension uniformity (CDU) and overlay than the single-exposure techniques used to date. In addition, multiple lithography steps per layer mean ultra-high throughput lithography systems are needed to maintain fab productivity.
About ASML
ASML is the world’s leading provider of lithography systems for the semiconductor industry, manufacturing complex machines that are critical to the production of integrated circuits or chips. Headquartered in Veldhoven, the Netherlands, ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML. ASML has more than 6,500 employees

 


 

(expressed in full time equivalents), serving chip manufacturers in more than 60 locations in 15 countries. More information about our company, our products and technology, and career opportunities is available on our website: www.asml.com