false2024FY0000883241P1Yhttp://fasb.org/us-gaap/2024#CostDepreciationAmortizationAndDepletionP3YP2Y438490iso4217:USDxbrli:sharesiso4217:USDxbrli:sharessnps:segmentsnps:quarterxbrli:puresnps:acquisitionsnps:reporting_unitiso4217:CNYiso4217:CAD00008832412023-11-012024-10-3100008832412024-04-3000008832412024-12-1600008832412024-10-3100008832412023-10-310000883241us-gaap:LicenseAndMaintenanceMember2023-11-012024-10-310000883241us-gaap:LicenseAndMaintenanceMember2022-11-012023-10-310000883241us-gaap:LicenseAndMaintenanceMember2021-11-012022-10-310000883241us-gaap:LicenseMember2023-11-012024-10-310000883241us-gaap:LicenseMember2022-11-012023-10-310000883241us-gaap:LicenseMember2021-11-012022-10-310000883241us-gaap:ProductMember2023-11-012024-10-310000883241us-gaap:ProductMember2022-11-012023-10-310000883241us-gaap:ProductMember2021-11-012022-10-310000883241us-gaap:TechnologyServiceMember2023-11-012024-10-310000883241us-gaap:TechnologyServiceMember2022-11-012023-10-310000883241us-gaap:TechnologyServiceMember2021-11-012022-10-3100008832412022-11-012023-10-3100008832412021-11-012022-10-310000883241us-gaap:CommonStockMember2021-10-310000883241us-gaap:AdditionalPaidInCapitalMember2021-10-310000883241us-gaap:RetainedEarningsMember2021-10-310000883241us-gaap:TreasuryStockCommonMember2021-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-10-310000883241us-gaap:ParentMember2021-10-310000883241us-gaap:NoncontrollingInterestMember2021-10-3100008832412021-10-310000883241us-gaap:RetainedEarningsMember2021-11-012022-10-310000883241us-gaap:ParentMember2021-11-012022-10-310000883241us-gaap:NoncontrollingInterestMember2021-11-012022-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-11-012022-10-310000883241us-gaap:CommonStockMember2021-11-012022-10-310000883241us-gaap:AdditionalPaidInCapitalMember2021-11-012022-10-310000883241us-gaap:TreasuryStockCommonMember2021-11-012022-10-310000883241us-gaap:CommonStockMember2022-10-310000883241us-gaap:AdditionalPaidInCapitalMember2022-10-310000883241us-gaap:RetainedEarningsMember2022-10-310000883241us-gaap:TreasuryStockCommonMember2022-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2022-10-310000883241us-gaap:ParentMember2022-10-310000883241us-gaap:NoncontrollingInterestMember2022-10-3100008832412022-10-310000883241us-gaap:RetainedEarningsMember2022-11-012023-10-310000883241us-gaap:ParentMember2022-11-012023-10-310000883241us-gaap:NoncontrollingInterestMember2022-11-012023-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2022-11-012023-10-310000883241us-gaap:CommonStockMember2022-11-012023-10-310000883241us-gaap:AdditionalPaidInCapitalMember2022-11-012023-10-310000883241us-gaap:TreasuryStockCommonMember2022-11-012023-10-310000883241us-gaap:CommonStockMember2023-10-310000883241us-gaap:AdditionalPaidInCapitalMember2023-10-310000883241us-gaap:RetainedEarningsMember2023-10-310000883241us-gaap:TreasuryStockCommonMember2023-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2023-10-310000883241us-gaap:ParentMember2023-10-310000883241us-gaap:NoncontrollingInterestMember2023-10-310000883241us-gaap:RetainedEarningsMember2023-11-012024-10-310000883241us-gaap:ParentMember2023-11-012024-10-310000883241us-gaap:NoncontrollingInterestMember2023-11-012024-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2023-11-012024-10-310000883241us-gaap:CommonStockMember2023-11-012024-10-310000883241us-gaap:AdditionalPaidInCapitalMember2023-11-012024-10-310000883241us-gaap:TreasuryStockCommonMember2023-11-012024-10-310000883241us-gaap:CommonStockMember2024-10-310000883241us-gaap:AdditionalPaidInCapitalMember2024-10-310000883241us-gaap:RetainedEarningsMember2024-10-310000883241us-gaap:TreasuryStockCommonMember2024-10-310000883241us-gaap:AccumulatedOtherComprehensiveIncomeMember2024-10-310000883241us-gaap:ParentMember2024-10-310000883241us-gaap:NoncontrollingInterestMember2024-10-3100008832412023-11-012024-01-3100008832412024-02-012024-10-310000883241srt:MinimumMemberus-gaap:MachineryAndEquipmentMember2024-10-310000883241srt:MaximumMemberus-gaap:MachineryAndEquipmentMember2024-10-310000883241us-gaap:BuildingMember2024-10-310000883241us-gaap:FurnitureAndFixturesMember2024-10-310000883241srt:MinimumMember2024-10-310000883241srt:MaximumMember2024-10-310000883241srt:MaximumMember2023-11-012024-10-310000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2024-09-300000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2024-09-302024-09-300000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2023-11-012024-10-310000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2022-11-012023-10-310000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2021-11-012022-10-310000883241us-gaap:DiscontinuedOperationsHeldForSaleOrDisposedOfBySaleMembersnps:SoftwareIntegrityBusinessMember2023-10-310000883241snps:ANSYSIncMembersrt:ScenarioForecastMember2025-01-012025-06-300000883241snps:ANSYSIncMembersrt:ScenarioForecastMember2025-06-300000883241snps:BridgeCommitmentMemberus-gaap:BridgeLoanMemberus-gaap:LineOfCreditMember2024-10-030000883241snps:BridgeCommitmentMemberus-gaap:BridgeLoanMemberus-gaap:LineOfCreditMember2024-10-310000883241snps:TermLoanAgreementMemberus-gaap:LineOfCreditMember2024-02-130000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2023-11-012024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersrt:MinimumMember2023-11-012024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersrt:MaximumMember2023-11-012024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersnps:DesignAutomationReportingUnitMember2024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersnps:DesignIPReportingUnitMember2024-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2022-11-012023-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2023-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersnps:DesignAutomationReportingUnitMember2023-10-310000883241snps:OpenLightMember2022-04-300000883241snps:OpenLightMember2022-02-012022-04-300000883241snps:OpenLightMembersnps:DesignAutomationReportingUnitMember2022-04-300000883241snps:OpenLightMember2024-10-310000883241snps:OpenLightMember2023-11-012024-10-310000883241snps:OpenLightMember2022-11-012023-10-310000883241snps:OpenLightMember2021-11-012022-10-310000883241snps:OpenLightMember2024-10-310000883241snps:OpenLightMember2023-10-310000883241snps:NTTSecurityAppSecSolutionsIncMember2022-06-222022-06-220000883241snps:NTTSecurityAppSecSolutionsIncMember2022-06-220000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2021-11-012022-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2022-10-310000883241us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMembersnps:DesignAutomationReportingUnitMember2022-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:ElectronicDesignAutomationMemberus-gaap:SalesRevenueNetMember2023-11-012024-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:ElectronicDesignAutomationMemberus-gaap:SalesRevenueNetMember2022-11-012023-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:ElectronicDesignAutomationMemberus-gaap:SalesRevenueNetMember2021-11-012022-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:DesignIPProductGroupMemberus-gaap:SalesRevenueNetMember2023-11-012024-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:DesignIPProductGroupMemberus-gaap:SalesRevenueNetMember2022-11-012023-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:DesignIPProductGroupMemberus-gaap:SalesRevenueNetMember2021-11-012022-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:OtherProductAndServiceMemberus-gaap:SalesRevenueNetMember2023-11-012024-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:OtherProductAndServiceMemberus-gaap:SalesRevenueNetMember2022-11-012023-10-310000883241us-gaap:ProductConcentrationRiskMembersnps:OtherProductAndServiceMemberus-gaap:SalesRevenueNetMember2021-11-012022-10-310000883241us-gaap:ProductConcentrationRiskMemberus-gaap:SalesRevenueNetMember2023-11-012024-10-310000883241us-gaap:ProductConcentrationRiskMemberus-gaap:SalesRevenueNetMember2022-11-012023-10-310000883241us-gaap:ProductConcentrationRiskMemberus-gaap:SalesRevenueNetMember2021-11-012022-10-3100008832412024-11-012024-10-3100008832412025-11-012024-10-310000883241snps:SalesBasedRoyaltiesMember2023-11-012024-10-310000883241snps:SalesBasedRoyaltiesMember2022-11-012023-10-310000883241snps:DesignAutomationSegmentMember2022-10-310000883241snps:DesignIPSegmentMember2022-10-310000883241snps:DesignAutomationSegmentMember2022-11-012023-10-310000883241snps:DesignIPSegmentMember2022-11-012023-10-310000883241snps:DesignAutomationSegmentMember2023-10-310000883241snps:DesignIPSegmentMember2023-10-310000883241snps:DesignAutomationSegmentMember2023-11-012024-10-310000883241snps:DesignIPSegmentMember2023-11-012024-10-310000883241snps:DesignAutomationSegmentMember2024-10-310000883241snps:DesignIPSegmentMember2024-10-3100008832412024-08-012024-10-310000883241snps:DevelopedAndCoreTechnologyMember2024-10-310000883241us-gaap:CustomerRelationshipsMember2024-10-310000883241us-gaap:ContractualRightsMember2024-10-310000883241us-gaap:TrademarksAndTradeNamesMember2024-10-310000883241snps:DevelopedAndCoreTechnologyMember2023-10-310000883241us-gaap:CustomerRelationshipsMember2023-10-310000883241us-gaap:ContractualRightsMember2023-10-310000883241us-gaap:TrademarksAndTradeNamesMember2023-10-310000883241snps:CapitalizedSoftwareDevelopmentCostsMember2023-10-310000883241snps:DevelopedAndCoreTechnologyMember2023-11-012024-10-310000883241snps:DevelopedAndCoreTechnologyMember2022-11-012023-10-310000883241snps:DevelopedAndCoreTechnologyMember2021-11-012022-10-310000883241us-gaap:CustomerRelationshipsMember2023-11-012024-10-310000883241us-gaap:CustomerRelationshipsMember2022-11-012023-10-310000883241us-gaap:CustomerRelationshipsMember2021-11-012022-10-310000883241us-gaap:ContractualRightsMember2023-11-012024-10-310000883241us-gaap:ContractualRightsMember2022-11-012023-10-310000883241us-gaap:ContractualRightsMember2021-11-012022-10-310000883241us-gaap:TrademarksAndTradeNamesMember2023-11-012024-10-310000883241us-gaap:TrademarksAndTradeNamesMember2022-11-012023-10-310000883241us-gaap:TrademarksAndTradeNamesMember2021-11-012022-10-310000883241snps:CapitalizedSoftwareDevelopmentCostsMember2023-11-012024-10-310000883241snps:CapitalizedSoftwareDevelopmentCostsMember2022-11-012023-10-310000883241snps:CapitalizedSoftwareDevelopmentCostsMember2021-11-012022-10-310000883241us-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2023-10-310000883241us-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2023-10-310000883241us-gaap:CashEquivalentsMember2023-10-310000883241us-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2023-10-310000883241us-gaap:MunicipalBondsMemberus-gaap:ShortTermInvestmentsMember2023-10-310000883241us-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2023-10-310000883241us-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2023-10-3100008832412023-11-012023-11-300000883241us-gaap:ForwardContractsMembersrt:MinimumMember2023-11-012024-10-310000883241us-gaap:ForwardContractsMembersrt:MaximumMember2023-11-012024-10-310000883241us-gaap:ForeignExchangeForwardMemberus-gaap:CashFlowHedgingMembersrt:MinimumMember2023-11-012024-10-310000883241us-gaap:ForeignExchangeForwardMemberus-gaap:CashFlowHedgingMembersrt:MaximumMember2023-11-012024-10-310000883241us-gaap:CashFlowHedgingMember2023-11-012024-10-310000883241us-gaap:NondesignatedMember2023-11-012024-10-310000883241us-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2024-10-310000883241us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMember2024-10-310000883241us-gaap:OtherAssetsMemberus-gaap:NondesignatedMember2024-10-310000883241us-gaap:AccruedLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2024-10-310000883241us-gaap:AccruedLiabilitiesMemberus-gaap:NondesignatedMember2024-10-310000883241us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMember2023-10-310000883241us-gaap:OtherAssetsMemberus-gaap:NondesignatedMember2023-10-310000883241us-gaap:AccruedLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2023-10-310000883241us-gaap:AccruedLiabilitiesMemberus-gaap:NondesignatedMember2023-10-310000883241snps:RevenueFromContractWithCustomerExcludingAssessedTaxMemberus-gaap:ForeignExchangeContractMember2023-11-012024-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:ForeignExchangeContractMember2023-11-012024-10-310000883241us-gaap:ForeignExchangeContractMember2023-11-012024-10-310000883241snps:RevenueFromContractWithCustomerExcludingAssessedTaxMemberus-gaap:ForeignExchangeContractMember2022-11-012023-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:ForeignExchangeContractMember2022-11-012023-10-310000883241us-gaap:ForeignExchangeContractMember2022-11-012023-10-310000883241snps:RevenueFromContractWithCustomerExcludingAssessedTaxMemberus-gaap:ForeignExchangeContractMember2021-11-012022-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:ForeignExchangeContractMember2021-11-012022-10-310000883241us-gaap:ForeignExchangeContractMember2021-11-012022-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:CashEquivalentsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMemberus-gaap:ShortTermInvestmentsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ForwardContractsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMembersnps:ContingentConsiderationReceivableMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMembersnps:ContingentConsiderationReceivableMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMembersnps:ContingentConsiderationReceivableMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMembersnps:ContingentConsiderationReceivableMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2024-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2024-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MoneyMarketFundsMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:USTreasuryAndGovernmentMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:MunicipalBondsMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MunicipalBondsMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MunicipalBondsMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:MunicipalBondsMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:AssetBackedSecuritiesMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ForwardContractsMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:ForwardContractsMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMembersnps:DeferredCompensationPlanAssetsMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2023-10-310000883241us-gaap:FairValueInputsLevel1Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel2Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241us-gaap:FairValueInputsLevel3Memberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueMeasurementsRecurringMember2023-10-310000883241snps:TermLoanAgreementMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-02-130000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-02-130000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-02-132024-02-130000883241snps:TermLoanAgreementMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-10-310000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MinimumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MaximumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MinimumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MaximumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MinimumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheOneMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MaximumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MinimumMember2024-02-132024-02-130000883241snps:TermLoanAgreementTrancheTwoMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MaximumMember2024-02-132024-02-130000883241snps:TermLoanAgreementMemberus-gaap:UnsecuredDebtMemberus-gaap:LineOfCreditMember2024-05-142024-05-140000883241snps:SeniorUnsecuredCommittedMulticurrencyRevolvingCreditFacilityMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMember2024-02-130000883241snps:UnsecuredUncommittedIncrementalRevolvingLoanFacilityMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMember2024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MinimumMember2024-02-132024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MaximumMember2024-02-132024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersnps:ABRMember2024-02-132024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersrt:MinimumMember2024-02-132024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersrt:MaximumMember2024-02-132024-02-130000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MinimumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMemberus-gaap:SecuredOvernightFinancingRateSofrMembersrt:MaximumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MinimumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersnps:ABRMembersrt:MaximumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersrt:MinimumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMembersrt:MaximumMembersrt:ScenarioForecastMember2025-06-302025-06-300000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMember2023-10-310000883241snps:RevolvingCreditAgreementMemberus-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMember2024-10-310000883241us-gaap:ForeignLineOfCreditMember2018-07-012018-07-310000883241us-gaap:ForeignLineOfCreditMember2018-07-310000883241us-gaap:ForeignLineOfCreditMember2024-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2023-11-012024-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2022-11-012023-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2021-11-012022-10-310000883241snps:MentorPatentLitigationMember2018-06-292018-06-290000883241snps:MentorPatentLitigationMembersrt:MinimumMember2018-06-290000883241snps:MentorPatentLitigationMembersrt:MaximumMember2018-06-290000883241us-gaap:AccumulatedForeignCurrencyAdjustmentIncludingPortionAttributableToNoncontrollingInterestMember2024-10-310000883241us-gaap:AccumulatedForeignCurrencyAdjustmentIncludingPortionAttributableToNoncontrollingInterestMember2023-10-310000883241us-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMember2024-10-310000883241us-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMember2023-10-310000883241us-gaap:AccumulatedNetInvestmentGainLossIncludingPortionAttributableToNoncontrollingInterestMember2024-10-310000883241us-gaap:AccumulatedNetInvestmentGainLossIncludingPortionAttributableToNoncontrollingInterestMember2023-10-310000883241us-gaap:SalesMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2023-11-012024-10-310000883241us-gaap:SalesMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2022-11-012023-10-310000883241us-gaap:SalesMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2021-11-012022-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2023-11-012024-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2022-11-012023-10-310000883241us-gaap:OperatingExpenseMemberus-gaap:AccumulatedGainLossCashFlowHedgeIncludingNoncontrollingInterestMemberus-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2021-11-012022-10-310000883241us-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2023-11-012024-10-310000883241us-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2022-11-012023-10-310000883241us-gaap:ReclassificationOutOfAccumulatedOtherComprehensiveIncomeMember2021-11-012022-10-310000883241snps:AcceleratedShareRepurchaseProgramNovember2023Member2023-11-012023-11-3000008832412022-04-120000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMemberus-gaap:RestrictedStockUnitsRSUMembersrt:MinimumMember2023-11-012024-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMemberus-gaap:RestrictedStockUnitsRSUMembersrt:MaximumMember2023-11-012024-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MinimumMember2023-11-012024-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MaximumMember2023-11-012024-10-310000883241us-gaap:RestrictedStockUnitsRSUMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2024-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2023-11-012024-10-310000883241us-gaap:EmployeeStockOptionMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2023-11-012024-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2024-04-102024-04-100000883241us-gaap:EmployeeStockOptionMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2024-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2024-10-310000883241snps:TwoThousandSeventeenNonEmployeeDirectorsEquityIncentivePlanMember2017-04-060000883241snps:TwoThousandSeventeenNonEmployeeDirectorsEquityIncentivePlanMemberus-gaap:EmployeeStockOptionMembersrt:MaximumMember2023-11-012024-10-310000883241us-gaap:RestrictedStockMembersnps:TwoThousandSeventeenNonEmployeeDirectorsEquityIncentivePlanMember2024-10-310000883241us-gaap:EmployeeStockOptionMembersnps:TwoThousandSeventeenNonEmployeeDirectorsEquityIncentivePlanMember2024-10-310000883241snps:TwoThousandSeventeenNonEmployeeDirectorsEquityIncentivePlanMember2024-10-310000883241snps:OtherAssumedStockPlansMember2024-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2021-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2020-11-012021-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2021-11-012022-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2022-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2022-11-012023-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2023-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2023-11-012024-10-310000883241us-gaap:RestrictedStockUnitsRSUMember2024-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2021-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2020-11-012021-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2021-11-012022-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2022-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2022-11-012023-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2023-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2023-11-012024-10-310000883241us-gaap:EmployeeStockOptionMembersnps:AllStockPlansMember2024-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2021-10-310000883241us-gaap:EmployeeStockOptionMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2021-11-012022-10-310000883241us-gaap:RestrictedStockUnitsRSUMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2021-11-012022-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2021-11-012022-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2022-10-310000883241us-gaap:EmployeeStockOptionMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2022-11-012023-10-310000883241us-gaap:RestrictedStockUnitsRSUMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2022-11-012023-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2022-11-012023-10-310000883241snps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2023-10-310000883241us-gaap:RestrictedStockUnitsRSUMembersnps:TwoThousandAndSixEmployeeEquityIncentivePlanMember2023-11-012024-10-310000883241us-gaap:RestrictedStockMember2021-10-310000883241us-gaap:RestrictedStockMember2021-11-012022-10-310000883241us-gaap:RestrictedStockMember2022-10-310000883241us-gaap:RestrictedStockMember2022-11-012023-10-310000883241us-gaap:RestrictedStockMember2023-10-310000883241us-gaap:RestrictedStockMember2023-11-012024-10-310000883241us-gaap:RestrictedStockMember2024-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMember2023-11-012024-10-310000883241us-gaap:EmployeeStockOptionMember2023-11-012024-10-310000883241us-gaap:EmployeeStockOptionMember2022-11-012023-10-310000883241us-gaap:EmployeeStockOptionMember2021-11-012022-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MinimumMember2023-11-012024-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MaximumMember2023-11-012024-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MinimumMember2022-11-012023-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MaximumMember2022-11-012023-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MinimumMember2021-11-012022-10-310000883241snps:EmployeeStockPurchasePlanMembersrt:MaximumMember2021-11-012022-10-310000883241snps:EmployeeStockPurchasePlanMember2023-11-012024-10-310000883241snps:EmployeeStockPurchasePlanMember2022-11-012023-10-310000883241snps:EmployeeStockPurchasePlanMember2021-11-012022-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MinimumMember2022-11-012023-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MaximumMember2022-11-012023-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MinimumMember2021-11-012022-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMembersrt:MaximumMember2021-11-012022-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMember2022-11-012023-10-310000883241snps:RestrictedStockUnitsRSUsMarketBasedMember2021-11-012022-10-310000883241us-gaap:CostOfSalesMember2023-11-012024-10-310000883241us-gaap:CostOfSalesMember2022-11-012023-10-310000883241us-gaap:CostOfSalesMember2021-11-012022-10-310000883241snps:CostOfMaintenanceAndServicesMember2023-11-012024-10-310000883241snps:CostOfMaintenanceAndServicesMember2022-11-012023-10-310000883241snps:CostOfMaintenanceAndServicesMember2021-11-012022-10-310000883241us-gaap:ResearchAndDevelopmentExpenseMember2023-11-012024-10-310000883241us-gaap:ResearchAndDevelopmentExpenseMember2022-11-012023-10-310000883241us-gaap:ResearchAndDevelopmentExpenseMember2021-11-012022-10-310000883241us-gaap:SellingAndMarketingExpenseMember2023-11-012024-10-310000883241us-gaap:SellingAndMarketingExpenseMember2022-11-012023-10-310000883241us-gaap:SellingAndMarketingExpenseMember2021-11-012022-10-310000883241us-gaap:GeneralAndAdministrativeExpenseMember2023-11-012024-10-310000883241us-gaap:GeneralAndAdministrativeExpenseMember2022-11-012023-10-310000883241us-gaap:GeneralAndAdministrativeExpenseMember2021-11-012022-10-310000883241us-gaap:SegmentContinuingOperationsMember2023-11-012024-10-310000883241us-gaap:SegmentContinuingOperationsMember2022-11-012023-10-310000883241us-gaap:SegmentContinuingOperationsMember2021-11-012022-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2023-11-012024-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2022-11-012023-10-310000883241us-gaap:SegmentDiscontinuedOperationsMember2021-11-012022-10-310000883241snps:OptionRestrictedStockAndRestrictedStockUnitsMember2024-10-310000883241snps:OptionRestrictedStockAndRestrictedStockUnitsMember2023-11-012024-10-310000883241us-gaap:EmployeeStockMember2024-10-310000883241us-gaap:EmployeeStockMember2023-11-012024-10-310000883241snps:OtherRetirementPlansDefinedContributionPlanMember2023-11-012024-10-310000883241snps:OtherRetirementPlansDefinedContributionPlanMember2022-11-012023-10-310000883241snps:OtherRetirementPlansDefinedContributionPlanMember2021-11-012022-10-310000883241us-gaap:DomesticCountryMember2024-10-310000883241snps:FederalForeignTaxCreditUsedInDomesticCountryMember2024-10-310000883241snps:InternationalCreditCarryforwardsMember2024-10-310000883241snps:CaliforniaCreditCarryforwardsMember2024-10-310000883241snps:OtherStateMember2024-10-310000883241us-gaap:StateAndLocalJurisdictionMember2024-10-310000883241srt:SubsidiariesMemberus-gaap:ForeignCountryMembersnps:HungarianTaxAuthorityMember2017-10-310000883241srt:SubsidiariesMemberus-gaap:ForeignCountryMembersnps:HungarianTaxAuthorityMember2016-11-012017-10-310000883241srt:SubsidiariesMemberus-gaap:ForeignCountryMembersnps:HungarianTaxAuthorityMember2023-05-170000883241srt:SubsidiariesMemberus-gaap:ForeignCountryMembersnps:HungarianTaxAuthorityMember2023-05-012023-07-310000883241us-gaap:OperatingSegmentsMember2023-11-012024-10-310000883241us-gaap:OperatingSegmentsMember2022-11-012023-10-310000883241us-gaap:OperatingSegmentsMember2021-11-012022-10-310000883241snps:DesignAutomationSegmentMember2021-11-012022-10-310000883241snps:DesignIPSegmentMember2021-11-012022-10-310000883241us-gaap:MaterialReconcilingItemsMemberus-gaap:SegmentContinuingOperationsMember2023-11-012024-10-310000883241us-gaap:MaterialReconcilingItemsMemberus-gaap:SegmentContinuingOperationsMember2022-11-012023-10-310000883241us-gaap:MaterialReconcilingItemsMemberus-gaap:SegmentContinuingOperationsMember2021-11-012022-10-310000883241country:US2023-11-012024-10-310000883241country:US2022-11-012023-10-310000883241country:US2021-11-012022-10-310000883241srt:EuropeMember2023-11-012024-10-310000883241srt:EuropeMember2022-11-012023-10-310000883241srt:EuropeMember2021-11-012022-10-310000883241country:CN2023-11-012024-10-310000883241country:CN2022-11-012023-10-310000883241country:CN2021-11-012022-10-310000883241country:KR2023-11-012024-10-310000883241country:KR2022-11-012023-10-310000883241country:KR2021-11-012022-10-310000883241snps:OtherCountriesMember2023-11-012024-10-310000883241snps:OtherCountriesMember2022-11-012023-10-310000883241snps:OtherCountriesMember2021-11-012022-10-310000883241country:US2024-10-310000883241country:US2023-10-310000883241us-gaap:NonUsMember2024-10-310000883241us-gaap:NonUsMember2023-10-310000883241snps:OneCustomerMemberus-gaap:CustomerConcentrationRiskMemberus-gaap:SalesRevenueNetMember2023-11-012024-10-310000883241snps:OneCustomerMemberus-gaap:CustomerConcentrationRiskMemberus-gaap:SalesRevenueNetMember2022-11-012023-10-310000883241snps:OneCustomerMemberus-gaap:CustomerConcentrationRiskMemberus-gaap:SalesRevenueNetMember2021-11-012022-10-310000883241snps:OneCustomerMemberus-gaap:CustomerConcentrationRiskMemberus-gaap:AccountsReceivableMember2022-11-012023-10-310000883241snps:A2023RestructuringPlanMember2023-10-310000883241us-gaap:SegmentDiscontinuedOperationsMembersnps:A2023RestructuringPlanMember2023-10-310000883241us-gaap:SegmentContinuingOperationsMembersnps:A2023RestructuringPlanMember2023-11-012024-10-310000883241us-gaap:SegmentDiscontinuedOperationsMembersnps:A2023RestructuringPlanMember2023-11-012024-10-310000883241snps:A2023RestructuringPlanMember2024-10-310000883241us-gaap:SegmentContinuingOperationsMembersnps:A2023RestructuringPlanMember2022-11-012023-10-310000883241us-gaap:SegmentDiscontinuedOperationsMembersnps:A2023RestructuringPlanMember2022-11-012023-10-310000883241us-gaap:SegmentContinuingOperationsMembersnps:A2023RestructuringPlanMember2023-10-310000883241us-gaap:SegmentContinuingOperationsMembersnps:A2021RestructuringPlanMember2021-11-012022-10-310000883241us-gaap:SegmentDiscontinuedOperationsMembersnps:A2021RestructuringPlanMember2021-11-012022-10-310000883241snps:A2021RestructuringPlanMember2022-10-310000883241snps:SassineGhaziMember2024-08-012024-10-310000883241snps:SassineGhaziMember2024-10-310000883241snps:RickMahoneyMember2024-08-012024-10-310000883241snps:RickMahoneyTradingArrangementCommonStockMember2024-10-310000883241snps:RickMahoneyTradingArrangement2022PerformanceBasedRestrictedStockUnitsPayoutNetAfterTaxSharesOfCommonStockMember2024-10-310000883241snps:RickMahoneyTradingArrangement2023PerformanceBasedRestrictedStockUnitsPayoutNetAfterTaxSharesOfCommonStockMember2024-10-31
Table of Contents
UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
FORM 10-K
(Mark One)
ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934
For the fiscal year ended October 31, 2024
OR
TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934
For the transition period from                      to                     
Commission File Number 0-19807
 synopsyslogoa21.jpg
SYNOPSYS, INC.
(Exact name of registrant as specified in its charter)
Delaware56-1546236
(State or other jurisdiction of
incorporation or organization)
(I.R.S. Employer
Identification No.)
675 Almanor Avenue
94085
Sunnyvale, California
(Address of principal executive offices)(Zip Code)

(650584-5000
(Registrant’s telephone number, including area code)
Securities Registered Pursuant to Section 12(b) of the Act:
Title of Each ClassTrading Symbol(s)Name of Each Exchange on Which Registered
Common Stock (par value of $0.01 per share)SNPSNasdaq Global Select Market
Securities Registered Pursuant to Section 12(g) of the Act: None
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act.    Yes      No  
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act.    Yes      No  
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days.    Yes      No  
Indicate by check mark whether the registrant has submitted electronically every Interactive Data File required to be submitted pursuant to Rule 405 of Regulation S-T (§ 232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit such files).    Yes      No  
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, a smaller reporting company, or an emerging growth company. See the definitions of “large accelerated filer,” “accelerated filer,” “smaller reporting company,” and "emerging growth company" in Rule 12b-2 of the Exchange Act.


Table of Contents
Large accelerated filer ý  Accelerated Filer 
Non-accelerated filer   Smaller reporting company 
Emerging growth company

If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act.
Indicate by check mark whether the registrant has filed a report on and attestation to its management’s assessment of the effectiveness of its
internal control over financial reporting under Section 404(b) of the Sarbanes-Oxley Act (15 U.S.C. 7262(b)) by the registered public accounting
firm that prepared or issued its audit report.
If securities are registered pursuant to Section 12(b) of the Act, indicate by check mark whether the financial statements of the registrant included in the filing reflect the correction of an error to previously issued financial statements.
Indicate by check mark whether any of those error corrections are restatements that required a recovery analysis of incentive-based compensation received by any of the registrant’s executive officers during the relevant recovery period pursuant to § 240.10D-1(b).
Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Act).    Yes     No  
The aggregate market value of the voting and non-voting common equity held by non-affiliates computed by reference to the price at which the common equity was last sold as of the last business day of the registrant’s most recently completed second fiscal quarter was approximately $67.5 billion. Aggregate market value excludes an aggregate of approximately 26.0 million shares of the registrant's common stock, par value of $0.01 per share (Common Stock) held by the registrant’s executive officers and directors and by each person known by the registrant to own 5% or more of the outstanding common stock on such date. Exclusion of shares held by any of these persons should not be construed to indicate that such person possesses the power, direct or indirect, to direct or cause the direction of the management or policies of the registrant, or that such person is controlled by or under common control with the registrant.
On December 16, 2024, 154,578,449 shares of Common Stock were outstanding.
DOCUMENTS INCORPORATED BY REFERENCE
Portions of the registrant’s definitive Proxy Statement relating to the registrant’s 2025 Annual Meeting of Stockholders, scheduled to be held on April 10, 2025, are incorporated by reference into Part III of this Annual Report on Form 10-K where indicated. Except as expressly incorporated by reference, the registrant’s Proxy Statement shall not be deemed to be part of this report.



Table of Contents
SYNOPSYS, INC.
ANNUAL REPORT ON FORM 10-K
Fiscal year ended October 31, 2024
TABLE OF CONTENTS
     Page No.
   
Item 1.   
Item 1A. 
Item 1B. 
Item 1C.
Item 2. 
Item 3. 
Item 4. 
 
Item 5. 
Item 6. 
Item 7. 
Item 7A. 
Item 8. 
Item 9. 
Item 9A. 
Item 9B. 
Item 9C.
 
Item 10. 
Item 11. 
Item 12. 
Item 13. 
Item 14. 
 
Item 15. 
Item 16.

i

Table of Contents
Cautionary Note Regarding Forward-Looking Statements

This Annual Report on Form 10-K (this Form 10-K or this Annual Report) contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended (the Securities Act), Section 21E of the Securities Exchange Act of 1934, as amended (the Exchange Act), and the Private Securities Litigation Reform Act of 1995. Any statements herein that are not statements of historical fact are forward-looking statements. Words such as “may,” “will,” “could,” “would,” “can,” “should,” “anticipate,” “expect,” “intend,” “believe,” “estimate,” “project,” “continue,” “forecast,” “likely,” “potential,” “seek,” or the negatives of such terms and similar expressions are intended to identify forward-looking statements. This Form 10-K includes, among others, forward-looking statements regarding:
business and market outlook, opportunities, strategies and technological trends, such as artificial intelligence;
planned acquisitions and their expected impact, including our pending acquisition of ANSYS, Inc. (the Ansys Merger);
the potential impact of the uncertain macroeconomic environment on our financial results, including, but not limited to, the effects of sustained global inflationary pressures and interest rates, potential economic slowdowns or recessions, supply chain disruptions and geopolitical pressures;
the expected impact of U.S. and foreign government trade restrictions and regulatory changes, including export control restrictions and tariffs, on our financial results;
customer license renewals and the expected realization and timing of recognition of our contracted but unsatisfied or partially unsatisfied performance obligations (backlog);
demand and market expansion for our products and our customers’ products;
our ability to successfully compete in the markets in which we serve;
our license mix, business model and variability in our revenue;
the continuation of current industry trends towards customer and vendor consolidation, and the impact of such consolidation;
the completion of development of our unfinished products, or further development or integration of our existing products;
the status of litigation and/or regulatory investigations;
our ability to protect our intellectual property;
our ability to attract and retain senior management and key employees worldwide;
the impact of tax laws and changes in such laws on our business;
our cash, cash equivalents and cash generated from operations; and
our future liquidity requirements.
These statements are based on our current expectations about future events and involve certain known and unknown risks, uncertainties and other factors that could cause our actual results, time frames or achievements to differ materially from those expressed or implied in our forward-looking statements. Accordingly, we caution readers not to place undue reliance on these statements. Such risks and uncertainties include, among others, those listed in Part I, Item 1A, Risk Factors and Item 3, Legal Proceedings; and Part II, Item 7, Management’s Discussion and Analysis of Financial Condition and Results of Operations, Item 7A, Quantitative and Qualitative Disclosures About Market Risk and Item 9A, Controls and Procedures of this Annual Report. The information included herein represents our estimates and assumptions as of the date of this filing. Unless required by law, we undertake no obligation to update publicly any forward-looking statements, or to update the reasons actual results could differ materially from those anticipated in these forward-looking statements, even if new information becomes available in the future. All subsequent written or oral forward-looking statements attributable to Synopsys, Inc. or persons acting on our behalf are expressly qualified in their entirety by these cautionary statements. Readers are urged to carefully review and consider the various disclosures made in this report and in other documents we file from time to time
1

Table of Contents
with the Securities and Exchange Commission (SEC) that attempt to advise interested parties of the risks and factors that may affect our business.
Fiscal Year End
Historically, our fiscal years have been 52- or 53-week periods ending on the Saturday nearest to October 31. Fiscal 2024 was a 53-week year ending on November 2, 2024, which impacted our revenue, expenses and operating results. Fiscal 2023 and 2022 were 52-week years and ended on October 28, 2023 and October 29, 2022, respectively. We have changed our fiscal year end from the Saturday nearest to October 31 and consisting of 52 or 53 fiscal weeks to a fiscal year end of October 31 each year. The fiscal year change becomes effective with our fiscal year 2025, which began on November 3, 2024. Our fiscal quarters will end on January 31, April 30, July 31 and October 31 of each year.
For presentation purposes, this Annual Report refers to the closest calendar month end.
2

Table of Contents
PART I

 Item 1.     Business
Company and Segment Overview
Synopsys, Inc. (Synopsys, we, our or us) delivers trusted and comprehensive silicon to systems design solutions, from electronic design automation (EDA), including system verification and validation solutions, to silicon intellectual property (IP). We partner closely with semiconductor and systems customers across a wide range of industries to maximize their engineering and research and development capacity. We are catalyzing the era of pervasive intelligence, powering innovation today that ignites the ingenuity of tomorrow.
We are a global leader in supplying the mission-critical EDA software that engineers use to design and test integrated circuits (ICs), also known as chips or silicon, and we are pioneering artificial intelligence (AI) driven chip design across the full-stack EDA suite to improve efficiency and accelerate the design, verification testing and manufacturing of advanced digital and analog chips. We provide software and hardware used to validate the electronic systems that incorporate chips and the software that runs on them, including cloud-based digital design flow to boost chip-design development productivity. We also provide technical services and support to help our customers develop advanced chips and electronic systems. These products and services are part of our Design Automation segment.
We also offer a broad and comprehensive portfolio of semiconductor IP solutions, which are pre-designed circuits that engineers use as components of larger chip designs to reduce integration risk and speed time to market. Our high quality, silicon-proven semiconductor IP includes logic libraries, embedded memories, analog IP, wired and wireless interface IP, security IP, embedded processors and subsystems. To accelerate IP integration and silicon bring-up, our IP Accelerated initiative provides architecture design expertise, hardening, and signal and power integrity analysis. These products and services are part of our Design IP segment.
Corporate Information
Our headquarters are located at 675 Almanor Avenue, Sunnyvale, California 94085, and our headquarters’ telephone number is (650) 584-5000. Our website is https://www.synopsys.com/. We have 116 offices worldwide.
Our Annual Report on Form 10-K, Quarterly Reports on Form 10-Q, Current Reports on Form 8-K, Proxy Statements, including those relating to our Annual Meeting of Stockholders, and any amendments to such reports or other information filed or furnished pursuant to Section 13(a) or 15(d) of the Exchange Act are available through the Investor Relations page of our website (https://investor.synopsys.com/overview/default.aspx) free of charge as soon as reasonably practicable after we file them with, or furnish them to, the SEC (www.sec.gov). We use our Investor Relations page as a routine channel for distribution of important information, including, among other things, news releases, investor presentations and financial information and to comply with our disclosure obligations under Regulation Fair Disclosure. The contents of our website are not part of this Annual Report and shall not be deemed to be incorporated by reference.
Background
In today’s era of pervasive intelligence, we have seen an acceleration in innovation cycles and a growing opportunity for Synopsys. The proliferation of silicon to power our digital world, where technology is omnipresent and interconnected, means computing is being reinvented with the rise of AI and software-defined systems. In turn, this is driving an increase in the activity of new and existing chip and system design companies around the world.
These developments are accompanied by increasing complexity. It is now common for a single chip to combine many components (processor, communications, memory, custom logic, input/output) and embedded software into a single system-on-chip (SoC), requiring highly complex chip designs. The most complex chips today contain more than a billion transistors. Transistors are the basic building blocks for ICs, each of which may have features that are less than 1/1,000th the diameter of a human hair.
These devices are manufactured using masks to direct beams of light onto a wafer of silicon. At such small dimensions, the wavelength of light itself can become an obstacle to production, proving too big to create such dense features and requiring creative and complicated new approaches. Designers have turned to new manufacturing techniques to solve these problems, such as multiple-patterning lithography, FinFET 3D transistors
3

Table of Contents
and Gate-All-Around Field-Effect transistor structures, which in turn have introduced new challenges to design and production.
The rise of silicon-powered intelligent devices and AI has increased demand for chips and systems with greater functionality and performance, reduced size, and lower power consumption. Our customers, who design silicon and software-defined systems, are facing intense pressure to deliver innovative offerings in shorter timeframes and at lower prices. In other words, innovation in chip and systems design often hinges on providing products “better,” “sooner,” and “cheaper” than competitors. The design of these chips and systems is extremely complex and necessitates state-of-the-art solutions. Over the past several years, market verticals including AI, 5G, automotive and cloud computing infrastructure have contributed to the ongoing demand for our products and services.
Our Role—As the Silicon to Systems Design Solutions Partner
Synopsys' silicon to systems design solutions are designed to help our customerschip and system engineers and software developersspeed up time to market, achieve the highest quality of results, mitigate risk, and maximize profitability.
Chip and systems designers must determine how best to design, locate and connect the building blocks of chips, and to verify that the resulting design behaves as intended and can be manufactured efficiently and cost-effectively. This is a complex, multi-step process that is expensive and time-consuming. Our wide range of products help at different steps in the overall design process, from the design of individual ICs to the design of larger systems. Our products increase designer productivity and efficiency by automating tasks, keeping track of large amounts of data, adding intelligence to the design process, facilitating reuse of past designs and reducing errors. Our IP products offer proven, high-quality pre-configured circuits that are ready to use in a chip design, saving customers time and enabling them to direct resources to features that differentiate their products. Our global service and support engineers provide expert technical support and design assistance to our customers.
Products and Services
Design Automation Segment
Our Design Automation segment includes the EDA and Other revenue groups.
EDA
Designing ICs involves many complex steps, including, among others architecture definition, register transfer level (RTL) design, functional/RTL verification, logic design or synthesis, gate-level verification, floorplanning, place and route, and physical verification. Designers use our EDA products to accelerate and automate the chip design process, reduce errors and enable more powerful and robust designs, with improved productivity for faster time to market.
As the availability and amount of cloud-based data storage grows, customer interest in accessing EDA on the cloud is also increasing as customers seek to benefit from the scalability and flexibility that cloud computing can offer to their flows and engineering teams. While many of our solutions have been used in cloud-based environments for years, such as in a customer’s own server and/or cloud environment, in fiscal 2022 we launched a Synopsys Cloud offering that provides customers additional options for accessing our EDA products in their own cloud environments and in the industry’s first EDA Software-as-a-Service solution developed in partnership with Microsoft Azure.
Our solutions comprehensively address the design process, featuring a large number of EDA products that generally fall into the following categories:
Digital and custom IC design tools are used for designing and verifying complex chips, and for designing the advanced processes and models required to manufacture those chips;
Field programmable gate array (FPGA) design, which accelerate time-to-shipping hardware with deep debug visibility, incremental design, broad language support, and optimal performance and area for FPGA-based products.
Verification, which includes technology to verify that an IC design behaves as intended;
Manufacturing, which includes products that both enable early manufacturing process development and convert IC design layouts into the masks used to manufacture the chips; and
AI-driven EDA solutions, which include AI and machine learning capabilities to boost productivity and improve efficiency throughout the EDA flow.
4

Table of Contents
Digital and Custom IC Design
Our Digital Design Family provides customers with a comprehensive digital design implementation solution that includes industry-leading products and redefines conventional design tool boundaries to deliver a more integrated flow than ever before, with better quality and time to results. The platform gives designers the flexibility to integrate internally developed tools as well as those from third parties. With innovative technologies, a common foundation, and flexibility, our Digital Design Family helps reduce design times, decrease uncertainties in design steps, and minimize the risks inherent in advanced, complex IC design. The platform supports multiple technology nodes, including advanced nodes at 12nm, 10nm, 8/7nm, 6 nm, 5/4nm, 3nm and 2 nm, with technology collaborations on next-generation process technologies.
Key design products are available as part of the Digital Design Family and include Fusion CompilerTM RTL to GDSII design implementation, Design Compiler® NXT logic synthesis, IC CompilerTM II physical design, Synopsys TestMAXTM test and diagnosis, PrimeTime® static timing analysis, PrimePower power analysis, PrimeLib library characterization, StarRCTM parasitic extraction, IC ValidatorTM physical verification and 3DIC Compiler, the industry’s first next-generation chip packaging solution, aimed at enabling customers to combine or stack multiple dice on a single chip.
Our Custom Design Family is a unified suite of design and verification tools that accelerates the transistor-level design of robust analog, mixed-signal, and custom-digital ICs. This product family features visually assisted layout automation, high-performance circuit simulation, reliability-aware verification, and natively integrated parasitic RC extraction and physical verification. It includes Custom CompilerTM layout and schematic editor, StarRC parasitic extraction, IC Validator physical verification and PrimeSimTM. The PrimeSim solution provides a unified workflow of next-generation simulation technologies to accelerate the design and signoff of IC designs including PrimeSim SPICE, PrimeSimPro, PrimeSim HSPICETM and PrimeSimXA. The PrimeWaveTM design environment provides comprehensive analysis and improved productivity and ease of use across all tools in PrimeSim.
Our Silicon Lifecycle Management (SLM) family of products improves silicon health and operational metrics at every phase of the device lifecycle. This family of products is built on a foundation of enriched in-chip observability, analytics and integrated automation. Synopsys' SLM in-chip monitoring enables deep insights from silicon to systems by providing meaningful data for continuous analysis and actionable feedback. The solution is integrated with the Digital Design Family for design calibration and analytics and includes Yield Explorer® for product ramp analytics, Silicon.da for AI-driven test and production analytics, TestMAX ALE (adaptive learning engine) for intelligent data extraction and communication to the SLM database and PVT IP for in-chip monitoring and sensing.
FPGA Design
FPGAs are complex chips that can be customized or programmed to perform a specific function after they are manufactured. For the process of converting a high-level hardware description language design into an FPGA netlist, a process known as FPGA-logic synthesis, we offer Synplify® FPGA synthesis tools that provide fast runtime, performance, area optimization for cost and power reduction, multi-FPGA vendor support, and incremental synthesis capabilities for faster FPGA design development.
Verification
Our Verification Family is built from our industry-leading verification technologies and provides virtual prototyping, static and formal verification, simulation, emulation, FPGA-based prototyping and debug in a unified environment with verification IP, planning, and coverage technology. By providing consistent compile, runtime and debug environments across the flow of verification tasks and by enabling seamless transitions across functions, the platform helps our customers accelerate chip verification, bring up software earlier, and get to market sooner with advanced SoCs.
The individual products and solutions included in the Verification Family include the following:
VC SpyGlassTM family of static verification technologies including lint, CDC (clock domain crossing), RDC (reset domain crossing), Constraint Checking, Synopsys TestMAX Advisor, and low-power analysis and verification;
VCS® functional verification solution, our comprehensive RTL and gate-level simulation technology, including Fine-Grained Parallelism;
Verdi®, our next generation platform that provides AI-based SoC debug solution with an integrated development environment and advanced verification management capabilities system;
5

Table of Contents
VC FormalTM, which leverages ML-based techniques to verify complex SoC designs, find deep corner-case design bugs, and enables formal signoff for control and datapath blocks;
ZeBu® emulation systems, which use high-performance hardware to emulate SoC designs so that designers can accelerate hardware, software and power verification of large complex SoCs and perform earlier verification and optimization of the SoC together with software;
HAPS® FPGA-based prototyping systems, which are integrated and scalable hardware-software solutions for early software development, hardware verification and system validation of IP blocks to processor subsystems to complete SoCs, including the use of at-speed interfaces, for better performance, higher quality and faster time to market;
VirtualizerTM virtual prototyping solution, which addresses the increasing development challenges associated with software-rich semiconductor and electronic products by accelerating both the development and deployment of virtual prototypes;
Platform ArchitectTM solution, which provides for early analysis and optimization of multi-core SoC architectures for performance and power; and
Other principal individual verification solutions, including the PrimeSim solution and the PrimeWave design environment.
Manufacturing
Our manufacturing solutions include Synopsys technology computer-aided design (TCAD), mask synthesis and manufacturing analytics. Synopsys TCAD enables computer-aided simulations to develop and optimize semiconductor process technologies. We also offer ProteusTM Mask Synthesis tools, CATS® mask data preparation software, Yield Explorer Odyssey, Yield-Manager® yield management solutions and QuantumATKTM atomic-scale modeling software. Synopsys enables its customers to realize the benefits of smart manufacturing by using advanced techniques in AI/ML and large data sets. These smart manufacturing solutions are built upon Synopsys’ extensive expertise in IC design, mask synthesis, process modeling, on-chip test and monitoring techniques and cloud-based data analytics.
We also provide consulting and design services that address all phases of the SoC development process, as well as a broad range of expert training and workshops on our latest tools and methodologies.
Synopsys.ai: Synopsys' AI-Driven EDA Stack
Our EDA software stack spanning design, verification, and manufacturing is augmented with AI and machine learning through our Synopsys.aiTM suite of complementary solutions. Synopsys.ai offers industry leading AI-driven workflow optimization and data analytics solutions along with breakthrough generative AI capabilities, allowing engineers to accelerate and automate chip design and improve efficiency throughout the entire EDA flow.
The Synopsys.ai suite of solutions include:
DSO.aiTM – Design Space Optimization for best quality of results and productivity with scaling of exploration design workflows;
VSO.aiTM – Verification Space Optimization for optimal functional verification coverage and faster turnaround time;
TSO.aiTM – Test Space Optimization for reduced pattern count, turnaround time and higher coverage;
ASO.aiTM – Analog Space Optimization for analog design and layout optimization and migration;
Design.da – Design data analytics for actionable insights to unlock untapped power, performance, and area;
Silicon.da – Silicon data analytics for root-cause analysis and part-level traceability of failures to improve key production and silicon operational metrics; and
Fab.da – Manufacturing data analytics for improved process control, time to market and higher yield.
Other
Our Other product group includes revenue from sales of products to university programs as well as our optical products, mechatronic simulation, and the impact of gains and losses from foreign currency hedges.

6

Table of Contents
Design IP Segment
Our Design IP segment includes our Design IP products, which service companies primarily in the semiconductor and electronics industries.
Design IP Products
As more functionality converges into a single chip or even a multi-die system, the number of third-party IP blocks incorporated into designs is rapidly increasing. We provide the broadest, most comprehensive portfolio of high-quality, silicon-proven IP solutions for SoCs. Our broad Synopsys IP portfolio includes:
High-quality solutions for widely used interfaces such as UCIe, USB, PCI Express, DDR, Ethernet, MIPI and HDMI;
Logic libraries and embedded memories, including memory compilers, non-volatile memory, and standard cells with integrated test and repair;
Processor solutions, including configurable ARC® processors, Neural Network processors, Digital Signal Processor cores, and software and application-specific instruction-set processor tools for embedded applications;
Security IP solutions, including cryptographic cores and software, security subsystems, platform security and secured interface IP;
An industry-leading IP offering for the automotive market, optimized for strict functional safety, reliability and cybersecurity standards such as ISO 26262 and ISO 21434; and
SoC infrastructure IP, datapath and building block IP, mathematical and floating-point components, Arm® AMBA® interconnect fabric and peripherals, and verification IP.
Our IP Accelerated initiative augments our established, broad portfolio of silicon-proven Synopsys IP with SoC architecture design support, customized IP subsystems, signal/power integrity analysis and IP hardening to accelerate the product development cycle.
We offer a broad portfolio of IP that has been optimized to address specific application requirements for the mobile, automotive, digital home, Internet of things and AI/data center markets, enabling designers to quickly develop SoCs in these areas.
Customer Service and Technical Support
A high level of customer service and support is critical to the adoption and successful use of our products. We provide technical support for our products through application engineering teams.
Post-contract customer support includes providing frequent updates to maintain the utilization of the software due to rapid changes in technology. In our Design Automation and Design IP segments, post-contract customer support for our EDA and IP products also includes access to the SolvNet® Plus portal, where customers can explore our complete design knowledge database, access self-help and receive support. Updated regularly, the SolvNet Plus portal includes technical documentation, design tips and answers to user questions. Customers can also engage, for additional charges, with our worldwide network of applications consultants for additional support needs.
In addition, we offer training workshops designed to increase customer design proficiency and productivity with our products. Workshops cover our EDA products and methodologies used in our design and verification flows, as well as specialized modules addressing systems design, logic design, physical design, simulation and testing. We offer regularly scheduled public and private courses in a variety of locations worldwide, as well as online training (live or on-demand) through our Virtual Classrooms.
Product Warranties
We generally warrant our products to be free from defects in media and to substantially conform to material specifications for a period of 90 days for our software products and for up to six months for our hardware products. In certain cases, we also provide our customers with limited indemnification with respect to claims that their use of our software products infringes on patents, copyrights, trademarks or trade secrets. We have not experienced material warranty or indemnity claims to date.
7

Table of Contents
Support for Industry Standards
We actively create and support standards that help our EDA and IP customers increase productivity, facilitate efficient design flows, improve interoperability of tools from different vendors and ensure connectivity, functionality and interoperability of IP building blocks. Standards in the electronic design industry can be established by formal accredited organizations, industry consortia, intercompany licensing , de facto usage, or through open-source licensing. Our products support multiple Application Programming Interfaces (APIs) including numerous commonly used frameworks and data and file formats.
In our Design Automation segment, our EDA products support many standards, including the many commonly used hardware description languages: SystemVerilog, Verilog, VHDL and SystemC. Our products utilize numerous industry-standard data formats, APIs and databases for the seamless exchange of design data among our tools, other EDA vendors’ products and applications that customers develop internally across design flows.
In our Design IP segment, we support a wide range of industry standards within our IP product family to ensure usability and interconnectivity.
Sales and Distribution
Our Design Automation and Design IP segment customers are primarily semiconductor and electronics systems companies. We market our products and services primarily through direct sales in the United States and our principal foreign markets. We typically distribute our software products and documentation to customers electronically.
We maintain sales and support centers throughout the United States. Outside the United States, we maintain sales, support or service offices in Canada, multiple countries in Europe, Israel and throughout Asia, including Japan, China, Korea, India and Taiwan. Our offices are further described under Part I, Item 2, Properties of this Annual Report.
Information relating to domestic and foreign operations, including revenue and long-lived assets by geographic area, is contained in Part II, Item 8, Financial Statements and Supplementary Data of this Annual Report. Risks related to our foreign operations are described in Part I, Item 1A, Risk Factors of this Annual Report.
8

Table of Contents
Revenue Attributable to Product Groups
Revenue from our products and services is categorized into three groups:
EDA, which includes digital and custom IC design software, verification hardware and software products, manufacturing-related design products, FPGA design software, AI driven EDA solutions, and professional services;
Design IP, which includes our interface, foundation, security, and embedded processor IP, IP subsystems, and IP implementation services; and
Other, which includes university programs, optical products, mechatronic simulation, and the impact of gains and losses from foreign currency hedges.
Revenue attributable to each of our three product groups is shown below as a percentage of our total revenue for those fiscal years.
30066
Aggregate revenue derived from one of our customers and its subsidiaries through multiple agreements accounted for 12.6%, 13.5% and 12.8% of our total revenue in fiscal 2024, 2023 and 2022, respectively.
Product Sales and Licensing Agreements
We typically license our software to customers under non-exclusive license agreements that restrict use of our software to specified purposes within specified geographical areas. The majority of licenses to our EDA products are network licenses that allow a number of individual users to access the software on a defined network, including, in some cases, regional or global networks. License fees depend on the type of license, product mix, and number of copies of each product licensed. For a full discussion of our software product offerings, see Part II, Item 7, Management’s Discussion and Analysis of Financial Condition and Results of Operations of this Annual Report.
We typically license Synopsys IP products under nonexclusive license agreements that provide usage rights for a specific number of designs. Fees under these licenses are typically charged on a per design basis plus, in some cases, royalties. See Note 2. Significant Accounting Policies and Bases of Presentation of the Notes to Consolidated Financial Statements in this Annual Report for further information.
9

Table of Contents
Our hardware products, which principally consist of our emulation and prototyping systems, are either sold or leased to our customers. Risks related to disruptions in our supply chain affecting our business are described in Part I, Item 1A, Risk Factors of this Annual Report.
Our professional services team typically provides design consulting services to our customers under consulting agreements with statements of work specific to each project.
Competition
Within our Design Automation segment, we compete against other EDA vendors and against our customers’ own design tools and internal design capabilities. The EDA industry is highly competitive. In general, we compete principally on technology leadership, product quality and features (including ease-of-use), license terms, price and payment terms, post-contract customer support, flexibility of tool use, and interoperability with our own and other vendors’ products. We also deliver a significant amount of engineering and design consulting for our products. No single factor drives an EDA customer’s buying decision, and we compete on all fronts to capture a higher portion of our customers’ budgets. Our competitors include EDA vendors that offer varying ranges of products and services, such as Cadence Design Systems, Inc. and Siemens EDA. We also compete with other EDA vendors, including new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process, as well as with customers’ internally developed design tools and capabilities.
Within our Design IP segment, Synopsys competes against numerous other IP providers, including Cadence Design Systems, Inc., and our customers' internally developed IP. We generally compete on the basis of product quality, reliability, features, availability of titles for new manufacturing processes, ease of integration with customer designs, compatibility with design tools, license terms, price and payment terms, and customer support. Likewise, no single factor drives an IP customer’s buying decision, and we compete on all fronts to capture a higher portion of our customers’ budgets.
Risks related to competitive factors affecting our business are described in Part I, Item 1A, Risk Factors of this Annual Report.
Proprietary Rights
We primarily rely upon a combination of copyright, patent, trademark, and trade secret laws and license and non-disclosure agreements to establish and protect our proprietary rights. We have a diversified portfolio of more than 3,400 United States and foreign patents issued, and we will continue to pursue additional patents in the future. Our issued patents have expiration dates through 2044 and generally have a term of 20 years from filing. Our patents primarily relate to our products and the technology used in connection with our products. Our source code is protected both as a trade secret and as an unpublished copyrighted work. However, third parties may independently develop similar technology. In addition, effective copyright and trade secret protection may be unavailable or limited in some foreign countries in which we operate. While protecting our proprietary technology is important, our business as a whole is not significantly dependent upon any single patent, copyright, trademark, or license.
In many cases, under our customer agreements and other license agreements, we offer to indemnify our customers if the licensed products infringe on a third party’s intellectual property rights. As a result, we may from time to time need to defend claims that our customers’ use of our products infringes on these third-party rights. We license software and other intellectual property from third parties, including, in several instances, for inclusion in our products. Risks related to our use of third-party technology are described in Part I, Item 1A, Risk Factors of this Annual Report.
Environmental, Social and Governance Matters
At Synopsys, we recognize that as we drive innovation and business success in the era of pervasive intelligence, we are simultaneously responsible for the sustainability of our operations, products, and ecosystem, which may impact our long-term value as a company.
Our Environmental, Social and Governance (ESG) strategy provides a focus and structure for how we manage our own operational impact and help others in our ecosystem to do the same. For example, Synopsys is driving energy savings in the semiconductor ecosystem through solutions that optimize energy efficiency in the design and use of chips and systems, along with solutions that reduce energy use, water use, and waste in semiconductor manufacturing.
10

Table of Contents
We maintain a robust governance structure for our ESG efforts, gauging and acting on our highest priority ESG impacts, business risks, and opportunities, as we believe this creates positive impact for our stockholders as well as our customers, employees, partners, and local communities.
Human Capital Resources
Synopsys’ mission is to empower technology innovators everywhere, and we believe our people are the key to our success. Our People and Places Team, led by our Chief People Officer, focuses on building a workplace where our talent around the globe can enthusiastically be their authentic selves and bring their best to the workplace. This includes open communication, sparking creative ideas, listening, collaborating, working on new challenges, and developing solutions that drive innovation for our customers. Through our ecosystem of learning and growth opportunities, collaboration and innovation tools, creative work environments, and robust total rewards, we help our employees thrive and do great work. We believe this creates value for us, our stockholders and our customers.
As of our fiscal 2024 year-end, Synopsys had approximately 20,000 employees. Approximately 20% of these employees are in the United States and 80% are in other locations around the world. Approximately 87% of our employees are engineers, and over half of those employees hold Masters or PhD degrees. We focus on several human capital measures and objectives, including recruitment and retention; inclusion and belonging; total rewards; employee health, safety, and wellbeing; employee engagement; and talent development and succession planning. Risks related to our human capital are described in Part I, Item 1A, Risk Factors of this Annual Report.
Recruitment and Retention
In fiscal 2024, despite hiring new employees, our total employee headcount decreased by approximately 1% due to the divestiture of our Software Integrity business. As of our fiscal 2024 year-end, our voluntary turnover rate was 6.4%. We attribute the strong retention of our talented workforce to several factors, including exciting and challenging assignments; growth opportunities; strong leadership and management; a culture of integrity and caring; our commitment to inclusion; competitive and equitable compensation and benefits; our leading products and technology; and the strength of our customer relationships.
Inclusion and Belonging
At Synopsys, we believe that our success depends, in part, on having inclusive teams of extraordinary professionals around the world who are empowered to innovate and create an inclusive culture where all employees feel seen and respected. Our work creating an inclusive Synopsys spans across every part of the employee experience, even before a new hire arrives. Because our leaders and managers play a key part in creating this experience, we want them to have the mindset and skills to lead inclusively. We are committed to upholding this important part of our culture. Consistent with our pledge of removing barriers to success and ensuring fairness for all, we regularly review and seek to improve talent management processes that impact the employee experience at Synopsys. These include hiring, compensation, talent development, and promotions.
Creating an inclusive culture requires actively listening to our employees and demonstrating real interest, curiosity, and inclusiveness. With the goal of elevating each person’s individual worth to benefit the workforce as a whole, we offer programs and events globally designed to help our employees learn about each other, foster connections, and demonstrate inclusivity every day. Our Employee Resource Groups (ERG) are an example. They create space for our employees to brainstorm, share experiences and ideas, and build welcoming communities that positively impact our business, culture, and the world beyond our walls. In fiscal 2024, two new Employee Resource Groups were created: Caregivers and Parents ERG (CAPE) and the Synopsys Neurodiversity Group (SYNG), bringing our total number of ERGs to seven.
Total Rewards
Our Total Rewards program offers meaningful global benefits and compensation for the time, energy, commitment, skills, and expertise employees bring to the company every day. Our practices are intended to deliver fair and equitable compensation for employees based on their contribution and performance. We benchmark market practices and regularly review our compensation and benefits against the market to help ensure that it is competitive. We also offer a comprehensive set of benefits for employees and their families focused on physical, mental, and financial health and wellbeing. Our compensation and benefits programs are tailored to the various geographies in which we operate and, for eligible employees, may include:
Market-competitive salary and cash bonus opportunity;
11

Table of Contents
Employee Stock Purchase Plan;
Equity compensation;
Robust medical, dental, vision, and wellness benefits;
Comprehensive leave plans;
Life insurance options;
Retirement plans and associated benefits;
Financial planning tools and employee assistance plans;
Student loan repayment assistance;
Cancer-specific prevention, early detection, treatment, and support programs; and
Parental resources and adoption benefits.
Health, Safety and Wellbeing
Our commitment to health, safety, and wellness was underscored this year by the support and resources we offered to help employees continue to thrive in a hybrid work environment, and achieve balance between their work and personal lives. Our Stronger Through Wellbeing campaign encourages our leaders and managers to model the importance of health and wellbeing and create opportunities to engage in wellness-related activities as a team. We also offer a variety of programs and resources at no cost to employees and their family members to support their mental, emotional, and financial wellbeing.
Employee Engagement
We have a comprehensive employee feedback program, and we use the feedback to gain an understanding of the employee experience and to make improvements in a variety of areas. These areas include how we interact with customers to how we share best practices, and more. Through our annual SHAPE Synopsys surveys, we obtain employee insight into our values, manager effectiveness, ability to innovate, perceptions on inclusion and belonging, and other critical factors. We also use pulse surveys to create space for important conversations about who we are, where we are going, and how we can connect with each other and our work.
In October 2024, approximately 91% of our employees participated in the SHAPE survey. We received an engagement score of 80, which was calculated by averaging the scores of all employee responses to questions about job satisfaction on a 100-point scale. In fiscal 2024, Synopsys received nearly 60 awards for workplace and culture, including certification as a Great Place to Work in 14 countries and recognition from Newsweek, Forbes, Fortune, US News and World Report, Comparably, and more. These results demonstrate Synopsys' stability and resiliency, and the fact that we have a global workforce that is highly engaged. We saw strong scores from our employees regarding their excitement for the company's future, trust in leadership, sense of belonging to Synopsys, and personal investment in the mission. To help promote employee engagement and recognition, we invest in programs such as the annual Engineering and Innovation Conference (EILC) and Pitch Fest innovation contest to empower our team and inspire innovation. As we grow, we aspire to maintain our results-oriented culture by balancing productivity with smart investments in our employees’ development, while also supporting individual wellbeing. These are two key drivers of the overall employee experience.
We also believe ongoing performance feedback encourages greater engagement in our business and improved individual performance. Each year, our employees participate in our performance development process, which summarizes key accomplishments for the preceding year, establishes new stretch goals and objectives, and identifies critical capabilities for development. As part of this process, we encourage managers to solicit and share supportive multi-rater feedback, further strengthening the focus on teamwork and team success.
Talent Development and Succession Planning
We offer several programs to support the career advancement of our employees. Through our digital learning platform, we seek to foster and support a “curious learning” culture where employees can access training, external articles, videos, and blogs. In addition, we host a series of in-person and on-demand learning sessions designed to build capability and adaptability required for the future. As employees advance in their careers, our training framework is intended to build new technical skills and core capabilities.
Our management training is designed to increase capability in the areas of communication, engagement, coaching, inclusion and belonging, hiring, and key business skills. This is based on our belief that our employees should work for and with great managers and leaders. The training aims to promote an ethical and supportive work environment that is free from bias and harassment. In fiscal 2024, we introduced courses for our front line and middle
12

Table of Contents
management focused on helping our many managers lead through change and giving them the tools to be great coaches and leaders. In addition, our regions and business teams customize development programs for their specific demographic.
Information about our Executive Officers
The executive officers of Synopsys and their ages as of December 18, 2024 were as follows:
Name
Age
Position
Sassine Ghazi
54
President and Chief Executive Officer
Aart J. de Geus70
Executive Chair of the Board of Directors
Shelagh Glaser60Chief Financial Officer
Richard Mahoney62Chief Revenue Officer
John F. Runkel, Jr.69General Counsel and Corporate Secretary
Sassine Ghazi has served as our Chief Executive Officer since January 2024, became our President in November 2021 and joined our Board of Directors in August 2023. Prior to his appointment as Chief Executive Officer, he served as Chief Operating Officer from August 2020 to January 2024. Mr. Ghazi joined Synopsys in March 1998 as an applications engineer and held a series of sales positions with increasing responsibility, culminating in leadership of worldwide strategic accounts. Prior to his appointment as Chief Operating Officer, Mr. Ghazi was the general manager for all digital and custom products, the largest business group in Synopsys. Prior to joining Synopsys, Mr. Ghazi was a design engineer at Intel Corporation. Mr. Ghazi received his bachelor’s degree in Business Administration from Lebanese American University; a B.S.E.E from the Georgia Institute of Technology in 1993; and an M.S.E.E. from the University of Tennessee in 1995.
Aart J. de Geus co-founded Synopsys and served as a member of our Board of Directors since our inception and as Chair of our Board of Directors from 1986 to 1992 and from 1998 until his transition to Executive Chair of our Board of Directors in January 2024. He served as Chief Executive Officer from 1994 to 2012 and as Co-Chief Executive Officer with Dr. Chi-Foon Chan from May 2012 until April 2022, and Chief Executive Officer from April 2022 until January 2024. Since the inception of Synopsys in December 1986, Dr. de Geus has held a variety of positions, including President, Senior Vice President of Engineering and Senior Vice President of Marketing. Dr. de Geus has also served on the board of directors of Applied Materials, Inc. since July 2007. Dr. de Geus holds an M.S.E.E. from the Swiss Federal Institute of Technology in Lausanne, Switzerland and a Ph.D. in Electrical Engineering from Southern Methodist University.
Shelagh Glaser has served as our Chief Financial Officer since December 2022. Prior to joining Synopsys, Ms. Glaser served as Chief Financial Officer of Zendesk, Inc. from May 2021 to November 2022. Ms. Glaser previously served in senior finance roles at Intel Corporation, a multinational technology company, including serving as its Corporate Vice President and Chief Financial Officer and Chief Operating Officer for its Data Platform Group from July 2019 to May 2021 and serving as its Corporate Vice President and Chief Financial Officer and in various other senior roles in its Client Computing Group from December 2013 to July 2019. Ms. Glaser has served as a director and member of the Audit Committee at PubMatic, Inc. since June 2022. Ms. Glaser holds a B.A. in Economics from the University of Michigan and an M.B.A. in Finance from Carnegie Mellon University.
Richard Mahoney has served as our Chief Revenue Officer since November 2022. Mr. Mahoney joined Synopsys as a Special Projects Advisor in May 2022. Prior to joining Synopsys, Mr. Mahoney held several senior management positions with ANSYS, Inc. (Ansys) from 2016 to 2022, including most recently as Senior Vice President of Worldwide Sales, Marketing and Customer Excellence from December 2016 to May 2022. Prior to joining Ansys, from 2014 to 2016, Mr. Mahoney was Senior Vice President, Design Enablement and International Sales, at Global Foundries, a semiconductor manufacturing company. Mr. Mahoney holds an A.S. in Computer Science from the Maxwell Institute of Technology.
John F. Runkel, Jr. has served as our General Counsel and Corporate Secretary since May 2014. From October 2008 to March 2013, he was Executive Vice President, General Counsel, and Corporate Secretary of Affymetrix, Inc. He served as Senior Vice President, General Counsel and Corporate Secretary of Intuitive Surgical, Inc. from 2006 to 2007. Mr. Runkel served in several roles at VISX, Inc. from 2001 to 2005, most recently as Senior Vice President of Business Development and General Counsel. Mr. Runkel was also a partner at the law firm of Sheppard, Mullin, Richter & Hampton LLP for 11 years. Mr. Runkel holds a Bachelor of Arts and a Juris Doctorate from the University of California, Los Angeles.
There are no family relationships among any Synopsys executive officers or directors.
13

Table of Contents
 Item 1A.     Risk Factors
Risk Factor Summary
Our business is subject to numerous risks and uncertainties. These risks include, but are not limited to, the following:
Industry Risks
Uncertainty in the macroeconomic environment, and its potential impact on the semiconductor and electronics industries, may negatively affect our business, operating results and financial condition.
The growth of our business depends primarily on the semiconductor and electronics industries.
We operate in highly competitive industries, and if we do not continue to meet our customers’ demand for innovative technology at lower costs, our products may not be competitive or may become obsolete.
We are subject to governmental export and import requirements that could subject us to liability and restrict our ability to sell our products and services, which could impair our ability to compete in international markets.
Consolidation among our customers and within the industries in which we operate, as well as our dependence on a relatively small number of large customers, may negatively impact our operating results.
Business Operations Risks
The global nature of our operations exposes us to increased risks and compliance obligations.
Our operating results may fluctuate in the future, which may adversely affect our stock price.
We may not be able to realize the potential financial or strategic benefits of the transactions we complete, or find suitable target businesses and technology to acquire.
Cybersecurity threats or other security breaches could compromise sensitive information belonging to us or our customers and could harm our business and our reputation.
If we fail to protect our proprietary technology, our business will be harmed.
We may not be successful in our AI initiatives, which could adversely affect our business, operating results or financial condition.
If we fail to timely recruit and/or retain senior management and key employees globally, our business may be harmed.
We may pursue new product and technology initiatives or expand into adjacent markets, and if we fail to successfully carry out these initiatives, we could be adversely impacted.
We may have to invest more resources in research and development than anticipated, which could increase our operating expenses and negatively affect our operating results.
Product errors or defects could expose us to liability and harm our reputation and we could lose market share.
Our hardware products, which primarily consist of prototyping and emulation systems, subject us to distinct risks.
From time to time, we are subject to claims that our products infringe on third-party intellectual property rights.
We may not be able to continue to obtain licenses to third-party software and intellectual property on reasonable terms or at all, which may disrupt our business and harm our financial results.
Liquidity requirements in our U.S. operations may require us to raise cash in uncertain capital markets, which could negatively affect our financial condition.
Risks Related to the Ansys Merger
We may fail to complete the Ansys Merger or may not complete it on the terms described herein or in our other filings with the SEC.
The Ansys Merger is subject to the receipt of governmental approvals that may impose conditions that could have an adverse effect on us or, if not obtained, could prevent completion of the Ansys Merger.
Failure to realize the benefits expected from the Ansys Merger could adversely affect our business, operating results and financial condition.
As a result of the Ansys Merger, we anticipate that the scope and size of our operations and business will substantially change and will result in certain incremental risks to us, including increased competition. We may not realize the full expected benefits of the Ansys Merger.
Our significant debt may limit our financial flexibility following the Ansys Merger.
14

Table of Contents
The covenants contained in the agreements governing our indebtedness following the Ansys Merger may impose restrictions on us and certain of our subsidiaries that may affect our ability to operate our businesses.
Legal and Regulatory Risks
Changes in tax laws and regulations or interpretations thereof, or any change in the application of existing laws and regulations may adversely affect our effective tax rates and financial results.
Our business is subject to evolving corporate governance and public disclosure regulations and expectations that could expose us to numerous risks.
We may be subject to litigation proceedings that could harm our business.
General Risks
Catastrophic events and the effects of climate change, pandemics or other unexpected events may disrupt our business and harm our operating results.
Factors that May Affect Future Results
Descriptions of risks associated with our business are set forth below. Some of these risks are highlighted in the following discussion and in Management's Discussion and Analysis of Financial Condition and Results of Operations, Legal Proceedings, Controls and Procedures and Quantitative and Qualitative Disclosures About Market Risk of this Annual Report. The occurrence of any of these risks or additional risks and uncertainties not presently known to us or that we currently believe to be immaterial could materially and adversely affect our business, financial condition, operating results and stock price. These risks and uncertainties could cause our actual results to differ materially from the results contemplated by the forward-looking statements contained in this Annual Report. Investors should carefully consider all relevant risks before investing in our common stock.
Industry Risks
Uncertainty in the macroeconomic environment, and its potential impact on the semiconductor and electronics industries, may negatively affect our business, operating results and financial condition.
Uncertainty in the macroeconomic environment, including the effects of, among other things, sustained global inflationary pressures and elevated interest rates, potential economic slowdowns or recessions, supply chain disruptions, geopolitical pressures, fluctuations in foreign exchange rates and associated global economic conditions, have resulted in volatility in credit, equity and foreign currency markets. This uncertain macroeconomic environment could lead some of our customers to postpone their decision-making, decrease their spending and/or delay their payments to us. Such caution by customers could, among other things, limit our ability to maintain or increase our sales or recognize revenue from committed contracts.
If these macroeconomic uncertainties persist and economic conditions continue to deteriorate, then the semiconductor and electronics industries could fail to grow. Additionally, uncertain macroeconomic conditions could also have the effect of increasing other risks and uncertainties facing our business, which could have a material adverse effect on our operating results and financial condition. Such risks that may be heightened by uncertain macroeconomic conditions include China’s stated policy of becoming a global leader in the semiconductor industry, which may lead to increased competition or further disruption of international trade relationships, including, but not limited to, additional government trade restrictions. For more on risks related to government export and import restrictions, see “We are subject to governmental export and import requirements that could subject us to liability and restrict our ability to sell our products and services, which could impair our ability to compete in international markets.
Adverse economic conditions affect demand for devices that our products help create, such as the ICs incorporated in personal computers, smartphones, automobiles, servers and more. Longer-term reduced demand for these or other products could result in reduced demand for design solutions and significant decreases in our average selling prices and product sales over time. Future economic downturns could also adversely affect our business, operating results and financial condition. In addition, if our customers or distributors build elevated inventory levels, we could experience a decrease in demand for our products. If any of these events or disruptions were to occur, the demand for our products and services could be adversely affected along with our business, operating results and financial condition. Additionally, due to our business model, the negative impact of these events or disruptions may not be immediately realized.
15

Table of Contents
Further economic uncertainty could also adversely affect the banking and financial services industry and result in bank failures or credit downgrades of the banks we rely on for foreign currency forward contracts, credit and banking transactions, and deposit services, or cause them to default on their obligations. Additionally, the banking and financial services industries are subject to complex laws and are heavily regulated. There is uncertainty regarding how proposed, contemplated or future changes to the laws, policies and regulations governing the banking and financial services industry could affect our business. A deterioration of conditions in worldwide credit markets could limit our ability to obtain external financing to fund our operations, capital expenditures or pending acquisitions, such as the Ansys Merger. In addition, difficult economic conditions may also result in a higher rate of losses on our accounts receivable due to credit defaults. Any of the foregoing could cause adverse effects on our business, operating results and financial condition, and could cause our stock price to decline.
The growth of our business depends primarily on the semiconductor and electronics industries.
The growth of the EDA industry as a whole and our sales in our Design Automation and Design IP segments are primarily dependent on the semiconductor and electronics industries. A substantial portion of our business and revenue depends upon the commencement of new design projects by semiconductor manufacturers, systems companies and their customers. The increasing complexity of designs of SoCs, ICs, electronic systems and customers’ concerns about managing costs have previously led to, and in the future could lead to, a decrease in design starts and design activity in general. For example, in response to this increasing complexity, some customers have chosen to focus on one discrete phase of the design process or opt for less advanced, but less risky, manufacturing processes that may not require the most advanced EDA products. If growth in the semiconductor and electronics industries slows or stalls, including, among other things, due to sustained global inflationary pressures and elevated interest rates, a continued or worsening global supply chain disruption, geopolitical pressures or economic slowdowns or recessions then demand for our products and services could decrease and our business, operating results and financial condition could be adversely affected. Additionally, as the EDA industry has matured, stronger competition has emerged from companies better able to compete as sole source vendors. This increased competition could cause our revenue growth rate to decline and exert downward pressure on our operating margins, which would have an adverse effect on our business and financial condition.
Furthermore, the semiconductor and electronics industries have become increasingly complex and interconnected ecosystems. Many of our customers outsource the manufacturing of their semiconductor designs to foundries. Our customers also frequently incorporate third-party IP, whether provided by us or other vendors, into their designs to improve the efficiency of their design process. We work closely with major foundries to ensure that our EDA, IP and manufacturing solutions are compatible with their manufacturing processes. Similarly, we work closely with other major providers of semiconductor IP, particularly microprocessor IP, to optimize our EDA tools for use with their IP designs and to ensure that their IP and our own IP products work effectively together, as we may each provide for the design of separate components on the same chip. If we fail to optimize our EDA and IP solutions for use with major foundries’ manufacturing processes or major IP providers’ products, or if our access to such foundry processes or third-party IP products is hampered, then our solutions may become less desirable to our customers, resulting in an adverse effect on our business and financial condition.
We operate in highly competitive industries, and if we do not continue to meet our customers’ demand for innovative technology at lower costs, our products may not be competitive or may become obsolete.
In our Design Automation segment, we compete against EDA vendors that offer a variety of products and services, such as Cadence Design Systems, Inc. and Siemens EDA. We also compete with other EDA vendors, including new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process. Moreover, some of our customers internally develop design tools and capabilities that compete with our products. In our Design IP segment, we compete against a growing number of silicon IP providers as well as our customers’ internally developed IP.
The industries in which we operate are highly competitive, with new competitors entering these markets both domestically and internationally. For example, China has implemented national policies favoring Chinese companies and has formed government-backed investment funds as it seeks to build independent EDA capabilities and compete internationally in the semiconductor industry. The demand for our products and services is dynamic and depends on a number of factors, including, among other things, demand for our customers’ products, design starts and our customers’ budgetary constraints. Technology in these industries evolves rapidly and is characterized by frequent product introductions and improvements as well as changes in industry standards and customer requirements. For example, the adoption of cloud computing and AI technologies may bring new demands and also challenges in terms of disruption to both our business models and existing technology offerings. Our efforts in
16

Table of Contents
developing such new technology solutions, including, for example, our current efforts in creating cloud computing and AI solutions, may not succeed. Semiconductor device functionality requirements continually increase while feature widths decrease, which substantially increases the complexity, cost and risk of chip design and manufacturing. At the same time, our customers and potential customers continue to demand a lower total cost of design, which can lead to the consolidation of their purchases from one vendor. In order to succeed in this environment, we must successfully meet our customers’ technology requirements and increase the value of our products, while also striving to reduce their overall costs and our own operating costs.
We compete principally on the basis of technology, product quality and features, license or usage terms, post-contract customer support, interoperability among products, and price and payment terms. Specifically, we believe the following competitive factors affect our success:
Our ability to anticipate and lead critical development cycles and technological shifts, innovate rapidly and efficiently, improve our existing software and hardware products, and successfully develop or acquire such new products;
Our ability to offer products that provide both a high level of integration into a comprehensive platform and a high level of individual product performance;
Our ability to enhance the value of our offerings through more favorable terms;
Our ability to manage an efficient supply chain to ensure hardware product availability;
Our ability to compete on the basis of payment terms; and
Our ability to provide engineering and design consulting for our products.
If we fail to successfully manage any of these competitive factors, fail to successfully balance the conflicting demands for innovative technology and lower overall costs, or fail to address new competitive forces, our business, operating results and financial condition may be adversely affected.
We are subject to governmental export and import requirements that could subject us to liability and restrict our ability to sell our products and services, which could impair our ability to compete in international markets.
We are subject to export controls, laws and regulations that restrict selling, shipping or transmitting certain of our products and services and transferring certain of our technology outside the United States. These requirements also restrict domestic release of software and technology to certain foreign nationals. In addition, we are subject to customs and other import requirements that regulate imports that may be important for our business.
Any failure to comply with the U.S. Export Administration Regulations or other U.S. or non-U.S. export requirements (collectively, the Export Regulations) could subject us to substantial civil and criminal penalties, including fines and the possible loss of the ability to engage in exporting and other international transactions. Due to the nature of our business and technology, governmental agencies from time to time review certain transactions for compliance with applicable Export Regulations. For example, we have received administrative subpoenas from the U.S. Bureau of Industry and Security (the BIS) requesting production of information and documentation relating to transactions with certain Chinese entities.
We believe that the Export Regulations do not materially impact our business at this time, but we cannot predict the impact that additional regulatory changes may have on our business in the future. The United States has published significant changes to the Export Regulations with respect to China and Russia, and we anticipate additional changes to the Export Regulations in the future. For example, the United States government has implemented controls on advanced computing ICs, computer commodities that contain such ICs, and certain semiconductor manufacturing items, as well as controls on transactions involving items for supercomputer and semiconductor manufacturing end-users. These controls expand the scope of foreign-produced items subject to license requirements for certain entities on the U.S. government's Entity List. Future changes to the Export Regulations, including changes in the enforcement and scope of such regulations, may create delays in the introduction of our products or services in international markets or could prevent our customers with international operations from deploying our products or services globally. In some cases, such changes could prevent the export or import of our products.


17

Table of Contents
Consolidation among our customers and within the industries in which we operate, as well as our dependence on a relatively small number of large customers, may negatively impact our operating results.
A number of business combinations and strategic partnerships among our customers in the semiconductor and electronics industries have occurred over the last several years, and more could occur in the future. Consolidation among our customers could lead to fewer customers or the loss of customers, increased customer bargaining power or reduced customer spending on products and services. Further, we depend on a relatively small number of large customers, and on such customers continuing to renew licenses and purchase additional products from us, for a large portion of our revenues. Consolidation among our customers, particularly our large customers, could also reduce demand for our products and services if customers streamline research and development or operations, or reduce or delay purchasing decisions. Our customers operate in highly competitive industries due to, among other factors, continued pressure from current and new competitors and technological change in their industries. Failure by our customers to successfully manage these competitive factors could adversely affect their business, operating results and financial condition, which could result in reduced spending on our products or services. Reduced customer spending or the loss of customers, particularly our large customers, could adversely affect our business, operating results and financial condition.
In addition, we and our competitors may acquire businesses and technologies to complement and expand our respective product offerings. Consolidated competitors could have considerable financial resources and channel influence as well as broad geographic reach, which may enable them to be more competitive in, among other things, product differentiation, breadth of technology portfolio, pricing, marketing, services or support. Such consolidations or acquisitions could negatively impact our business, operating results and financial condition.
Business Operations Risks
The global nature of our operations exposes us to increased risks and compliance obligations.
We derive roughly half of our revenue from sales outside the United States, and we expect our orders and revenue to continue to depend on sales to customers outside the U.S. We have also continually expanded our non-U.S. operations. This strategy requires us to recruit and retain qualified technical and managerial employees, manage multiple remote locations performing complex software development projects, and ensure intellectual property protection outside of the U.S. Our international operations and sales subject us to a number of increased risks, including, among others:
Economic slowdowns, recessions or uncertainty in financial markets, including, among other things, the impact of sustained global inflationary pressures and elevated interest rates;
Uncertain economic, legal and political conditions in China, Europe, the Middle East and other regions where we do business, including, for example, changes in China-Taiwan relations, regional or global military conflicts, and related sanctions and financial penalties imposed on participants in such conflicts;
Government trade restrictions, including tariffs, export controls or other trade barriers, and changes to existing trade arrangements, including the unknown impact of current and future U.S. and Chinese trade regulations;
Ineffective or weaker legal protection of intellectual property rights;
Difficulties in adapting to cultural differences in the conduct of business, which may include business practices in which we are prohibited from engaging by the Foreign Corrupt Practices Act or other anti-corruption laws; and
Financial risks such as longer payment cycles, changes in currency exchange rates and difficulty in collecting accounts receivable.
Furthermore, if any of the foreign economies in which we do business deteriorate or if we fail to effectively manage our global operations, our business and operating results will be harmed. There is inherent risk, based on the complex relationships between certain Asian countries such as China, where we derive a growing percentage of our revenue, and the United States, that political, diplomatic or military events could result in trade disruptions, including tariffs, trade embargoes, export restrictions and other trade barriers. A significant trade disruption, export restriction, or the establishment or increase of any trade barrier in any area where we do business could reduce customer demand and cause customers to search for substitute products and services, make our products and services more expensive or unavailable for customers, increase the cost of our products and services, have a negative impact on customer confidence and spending, make our products less competitive, or otherwise have an adverse impact on our backlog, future revenue and profits and our customers’ and suppliers’ business, operating results and financial
18

Table of Contents
condition. For example and as described above, the ongoing geopolitical and economic uncertainty between the U.S. and China, the unknown impact of current and future U.S. and Chinese trade regulations, and other geopolitical risks with respect to China and Taiwan may cause disruptions in the markets and industries we serve and our supply chain, decreased demand from customers for products using our solutions or other disruptions, which could, directly or indirectly, materially harm our business, operating results and financial condition. For more on risks related to government export and import restrictions see “We are subject to governmental export and import requirements that could subject us to liability and restrict our ability to sell our products and services, which could impair our ability to compete in international markets.
In response to the U.S. adopting tariffs and trade barriers or taking other actions, other countries may also adopt tariffs and trade barriers that could limit our ability to offer our products and services. Current and potential customers who are concerned or affected by such tariffs or restrictions may respond by developing their own products or replacing our solutions, which would have an adverse effect on our business. In addition, government or customer efforts, attitudes, laws or policies regarding technology independence may lead to non-U.S. customers favoring their domestic technology solutions that could compete with or replace our products, which would also have an adverse effect on our business.
In addition to tariffs and other trade barriers, our global operations are subject to numerous U.S. and foreign laws and regulations such as those related to anti-corruption, tax, corporate governance, imports and exports, financial and other disclosures, privacy and labor relations. These laws and regulations are complex and may have differing or conflicting legal standards, making compliance difficult and costly. In addition, there is uncertainty regarding how proposed, contemplated or future changes to these complex laws and regulations could affect our business. We may incur substantial expense in complying with the new obligations to be imposed by these laws and regulations, and we may be required to make significant changes in our business operations, all of which may adversely affect our revenues and our business overall. If we violate these laws and regulations, we could be subject to fines, penalties or criminal sanctions, and may be prohibited from conducting business in one or more countries. Any violation individually or in the aggregate could have a material adverse effect on our operations and financial condition.
Our financial results are also affected by fluctuations in foreign currency exchange rates. A weakening U.S. dollar relative to other currencies increases expenses of our foreign subsidiaries when they are translated into U.S. dollars in our consolidated statements of income. Likewise, a strengthening U.S. dollar relative to other currencies, including the renminbi or Yen, reduces revenue of our foreign subsidiaries upon translation and consolidation. Exchange rates are subject to significant and rapid fluctuations due to a number of factors, including interest rate changes and political and economic uncertainty. Therefore, we cannot predict the prospective impact of exchange rate fluctuations. We may be unable to hedge all of our foreign currency risk, which could have a negative impact on our operating results.
Our operating results may fluctuate in the future, which may adversely affect our stock price.
Our operating results are subject to quarterly and annual fluctuations, which may adversely affect our stock price. Our historical results should not be viewed as indicative of our future performance due to these periodic fluctuations.
Many factors have in the past and may in the future cause our backlog, revenue or earnings to fluctuate, including, among other things:
Changes in demand for our products and services—especially products, such as hardware, generating upfront revenue—due to fluctuations in demand for our customers’ products and due to constraints in our customers’ budgets for research and development as well as EDA and IP products and services;
Changes in demand for our products due to customers reducing their expenditures, which may be a result of customer cost-cutting measures or insolvency or bankruptcy, sustained global inflationary pressures and elevated interest rates or other reasons;
Product competition in the EDA, IP or semiconductor industries, which can change rapidly due to industry or customer consolidation and technological innovation;
Our ability to innovate and introduce new products and services or effectively integrate products and technologies that we acquire;
Failures or delays in completing sales due to our lengthy sales cycle, which often includes a substantial customer evaluation and approval process because of the complexity of our products and services;
Our ability to implement effective cost control measures;
19

Table of Contents
Our dependence on a relatively small number of large customers, and on such customers continuing to renew licenses and purchase additional products from us, for a large portion of our revenue;
Changes to the amount, composition and valuation of, and any impairments to or write-offs of, our assets or strategic investments;
Changes in the mix of our products sold, as increased sales of our products with lower gross margins, such as our hardware products, may reduce our overall margins;
Expenses related to our acquisition and integration of businesses and technologies, including our expenses related to the Ansys Merger;
Changes in tax rules, as well as changes to our effective tax rate, including the tax effects of infrequent or unusual transactions and tax audit settlements;
Delays, increased costs or quality issues resulting from our reliance on third parties to manufacture our hardware products, which includes a sole supplier for certain hardware components;
Natural variability in the timing of IP drawdowns, which can be difficult to predict;
General economic and political conditions that affect the semiconductor and electronics industries, such as disruptions to international trade relationships, including tariffs, changes in Export Regulations, or other trade barriers affecting our or our suppliers’ products; and
Changes in accounting standards, which may impact the way we recognize our revenue and costs and impact our earnings.
The timing of revenue recognition may also cause our revenue and earnings to fluctuate. The timing of revenue recognition is affected by factors including:
Cancellations or changes in levels of orders or the mix between upfront products revenue and time-based products revenue;
Delay of one or more orders for a particular period, particularly orders generating upfront products revenue, such as hardware;
Delay in the completion of professional services projects that require significant modification or customization and are accounted for using the percentage of completion method;
Delay in the completion and delivery of IP products in development as to which customers have paid for early access;
Customer contract amendments or renewals that provide discounts or defer revenue to later periods; and
The levels of our hardware and IP revenues, which are generally recognized upfront and are primarily dependent upon our ability to provide the latest technology and meet customer requirements.
These factors, or any other factors or risks discussed herein, could negatively impact our backlog, revenue or earnings and cause our stock price to decline. Additionally, our results may fail to meet or exceed the expectations of securities analysts and investors, or such analysts may change their recommendation regarding our stock, which could cause our stock price to decline. Our stock price has been, and may continue to be, volatile, which may make it more difficult for our stockholders to sell their shares at a time or a price that is favorable to them.
We may not be able to realize the potential financial or strategic benefits of the transactions we complete, or find suitable target businesses and technology to acquire.
Acquisitions and strategic investments are an important part of our growth strategy. We have completed a significant number of acquisitions in recent years and are currently anticipating the closing of the Ansys Merger in the first half of calendar year 2025. We expect to make additional acquisitions and strategic investments in the future, but we may not find suitable acquisition or investment targets, or we may not be able to consummate desired acquisitions or investments due to, among other things, financial constraints, unfavorable credit markets, commercially unacceptable terms, failure to obtain regulatory approvals, competitive bid dynamics or other risks, which could harm our operating results.
Any acquisitions and strategic investments we may undertake, including the Ansys Merger, are difficult, time-consuming, and pose a number of risks, including, but not limited to:
Potential negative impact on our net income resulting from acquisition or investment-related costs or on our earnings per share;
Failure of acquired products to achieve projected sales;
Problems in integrating the acquired products with our products;
20

Table of Contents
Difficulties entering into new markets in which we are inexperienced or our competitors have stronger positions;
Potential downward pressure on operating margins due to lower operating margins of acquired businesses, increased headcount costs, and other expenses associated with adding and supporting new products;
Difficulties in retaining and integrating key employees;
Substantial reductions of our cash resources and/or the incurrence of debt, which may be at higher than anticipated interest rates;
Failure to realize expected synergies or cost savings;
Difficulties in integrating or expanding sales, marketing and distribution functions and administrative systems, including IT and human resources systems;
Dilution of our current stockholders through the issuance of common stock as a part of transaction consideration;
Difficulties in negotiating, governing and realizing value from strategic investments;
Assumption of unknown liabilities, including tax, litigation, cybersecurity and commercial-related risks, and the related expenses and diversion of resources;
Incurrence of costs and use of additional resources to remedy issues identified prior to or after an acquisition;
Disruption of ongoing business operations, including diversion of management’s attention and uncertainty for employees and customers, particularly during the post-acquisition integration process;
Potential negative impacts on our relationships with customers, distributors and business partners;
Exposure to new operational risks, regulations and business customs to the extent acquired businesses are located in regions where we are not currently conducting business;
The need to implement controls, processes and policies appropriate for a public company at acquired companies that may have previously lacked such controls, processes and policies in areas such as cybersecurity, IT, privacy and more; and
Requirements imposed by government regulators in connection with their review of an acquisition, including required divestitures or restrictions on the conduct of our business or the acquired business.
In addition, current and future changes to the U.S. and foreign regulatory approval processes and requirements related to acquisitions, including the Ansys Merger, may cause approvals to take longer than anticipated, not be forthcoming or contain burdensome conditions, which may prevent our planned transactions or jeopardize, delay or reduce the anticipated benefits of such transactions, and impede the execution of our business strategy.
We have also divested and may in the future divest certain product lines or technologies that no longer fit our long-term strategies. Divestitures may adversely impact our business, operating results and financial condition if we are unable to achieve the anticipated benefits or cost savings from such divestitures, or if we are unable to offset impacts from the loss of revenue associated with the divested product lines or technologies. For example, if we sell or otherwise dispose of certain product lines or assets, we may be unable to do so on satisfactory terms within our anticipated timeframe or at all. Further, whether such divestitures are ultimately consummated or not, their pendency could have a number of negative effects on our current business, including disrupting our regular operations, diverting the attention of our workforce and management team and increasing undesired workforce turnover. It could also disrupt existing business relationships, make it harder to develop new business relationships, or otherwise negatively impact the way that we operate our business.
If we do not manage the foregoing risks, the transactions that we complete or are unable to complete, including the Ansys Merger and the Optical Solutions Divestiture (as defined below), may have an adverse effect on our business, operating results and financial condition.
Cybersecurity threats or other security breaches could compromise sensitive information belonging to us or our customers and could harm our business and our reputation.
We store sensitive data, including intellectual property, our proprietary business information and that of our customers, and personal information, in our data centers, on our networks or on the cloud. In addition, our operations depend upon our information technology (IT) systems. We maintain a variety of information security policies, procedures, and controls to protect our business and proprietary information, prevent data loss and other security breaches and incidents, keep our IT systems operational and reduce the impact of a security breach or
21

Table of Contents
incident, but these security measures cannot provide and have not provided absolute security. In the normal course of business, our systems are and have been the target of malicious cyberattack attempts and have been and may be subject to compromise due to employee error, malfeasance or other disruptions that have and could result in unauthorized disclosure or loss of sensitive information. To date, we have not identified material cyber security incidents or incurred any material expenses with any incidents. However, any breach or compromise could adversely impact our business and operations, expose us or our customers to litigation, investigations, loss of data, increase costs, or result in loss of customer confidence and damage to our reputation, any of which could adversely affect our business and our ability to sell our products and services.
Industry incidences of cyberattacks and other cybersecurity breaches have increased and are likely to continue to increase. We are using an increasing number of third-party software solutions, including cloud-based solutions, which increase potential threat vectors, such as by exploitation of misconfigurations or vulnerabilities. We also use third-party vendors that provide software or hardware, have access to our network, and/or store sensitive data, and these third parties are subject to their own cybersecurity threats. Our standard vendor terms and conditions include provisions requiring the use of appropriate security measures to prevent unauthorized use or disclosure of our data, as well as other safeguards. Despite these measures, there is no guarantee that a compromise of our third-party vendors will not occur and in turn result in a compromise of our own IT systems or data. In addition, if we select a vendor that uses cloud storage as part of their service or product offerings, or if we are selected as a vendor for our cloud-based solutions, our proprietary information could be misappropriated by third parties despite our attempts to validate the security of such services. Many employees continue to work remotely based on a hybrid work model, which magnifies the importance of maintaining the integrity of our remote access security measures. We also periodically acquire new businesses with less mature security programs, and it takes time to align their security practices to meet our information security policies, procedures and controls.
The techniques used to obtain unauthorized access to networks or to sabotage systems of companies such as ours change frequently, increasingly leverage technologies such as AI, and generally are not recognized until launched against a target. We may be unable to anticipate these emerging techniques, react in a timely manner, or implement adequate preventative measures, or we may not have sufficient logging available to fully investigate the incident. Our security measures vary in maturity across the business and may be and have been circumvented. For example, we have identified instances where employees have used non-approved applications for business purposes, some of which do not meet our security standards. In addition, we discovered unauthorized third-party access to our products and product license files hosted on our SolvNet Plus customer license and product delivery system in 2015. Any security breach of our own or a third-party vendor’s systems could cause us to be non-compliant with applicable laws or regulations, subject us to legal claims or proceedings, disrupt our operations, damage our reputation, and cause a loss of confidence in our products and services, any of which could adversely affect our business and our ability to sell our products and services.
Our software products and hosted solutions are also targeted by hackers and may be compromised by, among other things, phishing, exploits of our code or our system configurations, malicious code such as viruses and worms, distributed denial-of-service attacks, sophisticated attacks conducted or sponsored by nation-states, advanced persistent threat intrusions, ransomware and other malware. We leverage many security best practices throughout the software development lifecycle, but our security development practices vary in maturity across the business and may not be effective against all cybersecurity threats. Furthermore, due to geopolitical incidents, including regional military conflicts, state-supported and geopolitical-related cybersecurity incidents against companies such as ours may increase. Attacks on our products could potentially disrupt the proper functioning of our software, cause errors in the output of our customers’ work, allow unauthorized access to our or our customers’ proprietary information or cause other destructive outcomes.
If we fail to protect our proprietary technology, our business will be harmed.
Our success depends in part upon protecting our proprietary technology. Our efforts to protect our technology may be costly and unsuccessful. We rely on agreements with customers, employees and other third parties as well as intellectual property laws worldwide to protect our proprietary technology. These agreements may be breached, and we may not have adequate remedies for any breach. Additionally, despite our measures to prevent piracy, other parties may attempt to illegally copy or use our products, which could result in lost revenue if their efforts are successful. Some foreign countries do not currently provide effective legal protection for intellectual property and our ability to prevent the unauthorized use of our products in those countries is therefore limited. Our trade secrets may also be stolen, otherwise become known, or be independently developed by competitors.
22

Table of Contents
From time to time, we may need to commence litigation or other legal proceedings in order to assert claims of infringement of our intellectual property, defend our products from piracy, protect our trade secrets or know-how, or determine the enforceability, scope and validity of the propriety rights of others.
If we do not obtain or maintain appropriate patent, copyright or trade secret protection for any reason, or cannot fully defend our intellectual property rights in certain jurisdictions, our business and operating results would be harmed. In addition, intellectual property litigation is lengthy, expensive and uncertain. Legal fees related to such litigation will increase our operating expenses and may reduce our net income.
We may not be successful in our AI initiatives, which could adversely affect our business, operating results or financial condition.
We have incorporated, and are continuing to develop and deploy, AI into our products and the operations of our business. While these AI initiatives can present significant benefits, the AI landscape is rapidly evolving and may create risks and challenges for our business. If we fail to develop and timely offer products with AI features, if such products fail to meet our customers’ demands, if these products fail to operate as expected, or if our competitors incorporate AI into their products more quickly or more successfully than we do, we may experience brand or reputational harm and lose our competitive position, our products may become obsolete, and our business, operating results or financial condition could be adversely affected.
While AI technology may drive future growth in our business, worldwide markets for AI-enabled products may not develop in the manner or time periods we anticipate, or at all. If domestic or global economies worsen, overall spending on the development of AI-related products may decrease, which would adversely impact demand for our products in these markets. Even if the demand for AI-enabled products develops in the manner or in the time periods we anticipate, if we do not have timely, competitively priced, market-accepted products available to meet our customers’ needs to develop products for the AI markets, we may miss a significant opportunity and our business, operating results and financial condition could be materially and adversely affected. In addition, because the markets for AI-related products are still emerging, demand for these products may be unpredictable and may vary significantly from one period to another.
The technologies underlying AI and its uses are expected to be subject to new laws and regulations or new applications of existing laws and regulations, including in the areas of intellectual property, privacy, data protection and cybersecurity, among others. In addition, unfavorable developments with evolving laws and regulations affecting AI-related products may limit global adoption, impede our strategy and negatively impact our long-term expectations in this area. For example, there is significant uncertainty in the U.S. courts as to how AI technologies affect IP ownership, including copyright protections, and the use of AI-related technology in the development of our products or implementation of AI features in our products could expose us or our customers to claims of copyright infringement or misappropriation. We may not be able to anticipate how to respond to or comply with these rapidly evolving frameworks, and we may need to expend resources to adjust our offerings in certain jurisdictions if the legal frameworks are inconsistent across jurisdictions. The cost of complying with such frameworks could be significant and may increase our operating expenses. Because AI technology is highly complex and rapidly developing, it is not possible to predict all legal, operational or technological risks that may arise relating to the use of AI.
If we fail to timely recruit and/or retain senior management and key employees globally, our business may be harmed.
We depend in large part upon the services of our senior management team and key employees to drive our future success, and certain of these personnel depart our company from time to time, with the frequency and number of such departures varying widely. For example, we have in the past experienced significant changes to our executive leadership team due to planned succession and other departures. The departure of key employees could result in significant disruptions to our operations, including, among other things, adversely affecting the timeliness of our product releases, the successful implementation and completion of our initiatives, the adequacy of our internal control over financial reporting, and our business, operating results and financial condition.
To be successful, we must also attract senior management and key employees who join us organically and through acquisitions, such as the Ansys Merger. There are a limited number of qualified engineers. Competition for these individuals and other qualified employees is intense and has increased globally, including in major markets such as Asia. Our employees are often recruited aggressively by our competitors and our customers worldwide. Any failure to recruit and/or retain senior management and key employees could harm our business, operating results and
23

Table of Contents
financial condition. Additionally, efforts to recruit such employees could be costly and negatively impact our operating expenses.
We issue equity awards from employee equity plans as a key component of our overall compensation. We face pressure to limit the use of such equity-based compensation due to dilutive effects on stockholders. If we are unable to offer attractive compensation packages in the future, it could limit our ability to attract and retain senior management and key employees.
We may pursue new product and technology initiatives or expand into adjacent markets, and if we fail to successfully carry out these initiatives, we could be adversely impacted.
As part of the evolution of our business, we have made substantial investments to develop new products and enhancements to existing products through our acquisitions and research and development efforts. If we are unable to anticipate technological changes in our industry by introducing new or enhanced products in a timely and cost-effective manner, or if we fail to introduce products that meet market demand, we may lose our competitive position, our products may become obsolete, and our business, operating results or financial condition could be adversely affected.
Additionally, we have in the past and may in the future invest in efforts to expand into adjacent markets. These efforts may not be successful due to a variety of factors, including, but not limited to, our ability to:
Attract a new customer base, including in industries in which we have less experience;
Successfully develop new sales and marketing strategies to meet customer requirements;
Accurately predict, prepare for and promptly respond to technological developments in new fields;
Compete with new and existing competitors, many of which may have more financial resources, industry experience, brand recognition, relevant intellectual property rights or established customer relationships than we do;
Balance our investment in adjacent markets with investment in our existing products and services; and
Attract and retain employees with expertise in new fields.
Difficulties in any of our new product development efforts or our efforts to enter adjacent markets, including as a result of delays or disruptions, or export control restrictions, could adversely affect our business, operating results and financial condition.
We may have to invest more resources in research and development than anticipated, which could increase our operating expenses and negatively affect our operating results.
We devote substantial resources to research and development. We may be required to invest significantly greater resources than anticipated due to certain competitive factors, including, among others, the emergence of new competitors, technological advances in the semiconductor industry or by competitors, our acquisitions or our entry into new markets. If we are required to invest significantly greater resources than anticipated without a corresponding increase in revenue, our operating results could decline. If customers reduce or slow the need to upgrade or enhance their product offerings, our revenue and operating results may be adversely affected. Additionally, our periodic research and development expenses may be independent of our level of revenue, which could negatively impact our financial results. New products may not adequately address the changing needs of the marketplace. New software products may contain undetected errors, defects or vulnerabilities. The occurrence of any defects or errors in our products could result in lost or delayed market acceptance and sales of our products, delays in payment by customers, loss of customers or market share, product returns, damage to our reputation, diversion of our resources, increased service and warranty expenses or financial concessions, increased insurance costs and potential liability for damages. Finally, there can be no guarantee that our research and development investments will result in products that create additional revenue.
Product errors or defects could expose us to liability and harm our reputation and we could lose market share.
Software products frequently contain errors or defects, especially when first introduced, when new versions are released, or when integrated with technologies developed by acquired companies. Product errors, including those resulting from third-party suppliers, could affect the performance or interoperability of our products, could delay the development or release of new products or new versions of products and could adversely affect market acceptance or perception of our products. In addition, any allegations of manufacturability issues resulting from use of our IP
24

Table of Contents
products could, even if untrue, adversely affect our reputation and our customers’ willingness to license IP products from us. Any such errors or delays in releasing new products or new versions of products or allegations of unsatisfactory performance could cause us to lose customers, increase our service costs, subject us to liability for damages and divert our resources from other tasks, any one of which could materially and adversely affect our business, operating results and financial condition.
Our hardware products, which primarily consist of prototyping and emulation systems, subject us to distinct risks.
The growth in sales of our hardware products subjects us to risks, including, but not limited to:
Increased dependence on a sole supplier for certain hardware components, which may reduce our control over product quality and pricing and may lead to delays in production and delivery of our hardware products, should our supplier fail to deliver sufficient quantities of acceptable components in a timely fashion;
Increasingly variable revenue and less predictable revenue forecasts, due to fluctuations in hardware revenue, which is recognized upfront upon shipment, as opposed to most sales of software products for which revenue is recognized over time;
Potential reductions in overall margins, as the gross margin for our hardware products, is typically lower than those of our software products;
Longer sales cycles, which create risks of insufficient, excess or obsolete inventory and variations in inventory valuation, which can adversely affect our business, operating results and financial condition;
Decreases or delays in customer purchases in favor of next-generation releases or competitive products, which may lead to excess or obsolete inventory or require us to discount our older hardware products;
Longer warranty periods than those of our software products, which may require us to replace hardware components under warranty, thus increasing our costs; and
Potential impacts on our supply chain, including the effects of sustained global inflationary pressures and elevated interest rates, or global semiconductor shortages.
From time to time, we are subject to claims that our products infringe on third-party intellectual property rights.
We are from time to time subject to claims alleging our infringement of third-party intellectual property rights, including patent rights. Under our customer agreements and other license agreements, we agree in many cases to indemnify our customers if our products are alleged to infringe on a third party’s intellectual property rights. Infringement claims have in the past and could in the future result in costly and time-consuming litigation, require us to enter into royalty arrangements, subject us to damages or injunctions restricting our sale of products, invalidate a patent or family of patents, require us to refund license fees to our customers or to forgo future payments, or require us to redesign certain of our products, any one of which could harm our business and operating results.
We may not be able to continue to obtain licenses to third-party software and intellectual property on reasonable terms or at all, which may disrupt our business and harm our financial results.
We license third-party software and other intellectual property for use in product research and development and, in several instances, for inclusion in our products. We also license third-party software, including the software of our competitors, to test the interoperability of our products with other industry products and in connection with our professional services. These licenses may need to be renegotiated or renewed from time to time, or we may need to obtain new licenses in the future. Third parties may stop adequately supporting or maintaining their technology, or they or their technology may be acquired by our competitors. If we are unable to obtain licenses to these third-party software and intellectual property on reasonable terms or at all, we may not be able to sell the affected products, our customers’ use of the products may be interrupted, or our product development processes and professional services offerings may be disrupted, which could in turn harm our financial results, our customers, and our reputation.
The inclusion of third-party intellectual property in our products can also subject us and our customers to infringement claims. We may not be able to sufficiently limit our potential liability contractually. Regardless of outcome, infringement claims may require us to use significant resources and may divert management’s attention from the operation of our business.
25

Table of Contents
Some of our products and technology, including those we acquire, have in the past and may in the future include software licensed under open source licenses. Some open source licenses could require us, under certain circumstances, to make available or grant licenses to any modifications or derivative works we create based on the open source software. The risks associated with open source usage may not be eliminated despite our best efforts and may, if not properly addressed, result in unanticipated obligations that harm our business.
Liquidity requirements in our U.S. operations may require us to raise cash in uncertain capital markets, which could negatively affect our financial condition.
We expect that the pending Ansys Merger is likely to result in a material increase in our debt and liquidity needs that will impact our capital needs. We anticipate that the funds needed to fund the cash portion of the Ansys Merger consideration and to pay related transaction fees and expenses will be derived from a combination of available cash on hand and third-party debt financing. As of October 31, 2024, approximately 24% of our worldwide cash and cash equivalents balance is held by our international subsidiaries. We intend to fund the Ansys Merger, pay related transaction fees and expenses and meet our U.S. cash spending needs primarily through our existing U.S. cash balances, ongoing U.S. cash flows and third-party debt financing, which will include a combination of available credit under our Term Loan Agreement, Revolving Credit Agreement, the Bridge Commitment and/or one or more issuances of senior unsecured notes. Our ability to obtain any such new debt financing will depend on, among other factors, prevailing market conditions and other factors beyond our control. We may be required to incur debt at higher than anticipated interest rates, access other funding sources or repatriate cash, any of which could negatively affect our operating results, capital structure or the market price of our common stock.
Risks Related to the Ansys Merger
We may fail to complete the Ansys Merger or may not complete it on the terms described herein or in our other filings with the SEC.
It is currently anticipated that we will complete the Ansys Merger in the first half of calendar year 2025. The Ansys Merger is subject to the satisfaction or waiver of customary closing conditions, including, among other things, the clearance of the Ansys Merger under certain antitrust and foreign investment regimes, and the continued effectiveness of the registration statement on Form S-4 (File No. 333-277912) filed by us on March 14, 2024 and declared effective by the SEC on April 17, 2024. As a result, the possible timing and likelihood of completion are uncertain and, accordingly, there can be no assurance that the Ansys Merger will be completed on the anticipated schedule, if at all.
Any delay in completing the acquisition could cause us not to realize some or all of the anticipated benefits when expected, if at all. If the Ansys Merger is not completed, we could be subject to a number of risks that may adversely affect our business and operating results, including, among other things:
our stock price could decline to the extent it reflects an assumption that we will complete the Ansys Merger;
our incurrence of significant acquisition costs that we would be unable to recoup;
under certain specified circumstances we could be required to pay Ansys a termination fee of $1.5 billion; and
negative publicity and other negative impacts resulting from failure to complete the Ansys Merger.
The Ansys Merger is subject to the receipt of governmental approvals that may impose conditions that could have an adverse effect on us or, if not obtained, could prevent completion of the Ansys Merger.
Completion of the Ansys Merger is conditioned upon the receipt of governmental approvals, including certain antitrust and foreign investment approvals. There can be no assurance that these approvals will be obtained and that the other conditions to completing the Ansys Merger will be satisfied. In addition, the governmental authorities from which these approvals are required may impose conditions on the completion of the Ansys Merger or require changes to the terms of the Ansys Merger or agreements to be entered into in connection with the Ansys Merger. For example, following the determination that the sale of our Optical Solutions Group is a necessary step towards obtaining governmental approval of and successfully closing the Ansys Merger, we recently signed a definitive agreement for the sale of our Optical Solutions Group to Keysight Technologies, Inc. (the Optical Solutions Divestiture). For risks relating to the divestiture of certain product lines or technologies, see “We may not be able to realize the potential financial or strategic benefits of the transactions we complete, or find suitable target businesses and technology to acquire.” Such conditions or changes and the process of obtaining these approvals, or delay in the consummation of any necessary divestitures or other sales transactions, could have the effect of delaying or
26

Table of Contents
impeding completion of the Ansys Merger or of imposing additional costs or limitations on us following completion of the Ansys Merger, any of which might have an adverse effect on our business, operating results and financial condition.
Failure to realize the benefits expected from the Ansys Merger could adversely affect our business, operating results and financial condition.
The anticipated benefits we expect from the Ansys Merger are based on projections and assumptions about our combined business with Ansys, which may not materialize as expected or which may prove to be inaccurate. Our business, operating results and financial condition could be adversely affected if we are unable to realize the anticipated benefits from the Ansys Merger on a timely basis, if at all, including, among other things, realizing the anticipated cost and revenue synergies from the Ansys Merger in the anticipated amounts or within the anticipated timeframes or cost expectations, if at all. Achieving the benefits of the Ansys Merger will depend, in part, on our ability to integrate the business and operations of Ansys successfully and efficiently with our business. The challenges involved in this integration, which may be complex and time-consuming, include, among others, the following:
avoiding business disruptions, preserving customer and other important relationships of Ansys and attracting new business and operational relationships;
coordinating and integrating independent research and development and engineering teams across technologies and product platforms to enhance product development while reducing costs;
integrating financial forecasting and controls, procedures and reporting cycles;
consolidating and integrating corporate, IT, cybersecurity, finance and administrative infrastructures;
coordinating branding, sales and marketing efforts to effectively position the combined company’s capabilities and the direction of product development;
integrating Ansys’ systems, operations and product lines;
meeting obligations that we will have to counterparties of Ansys that arise as a result of the change in control of Ansys; and
integrating employees and related HR systems and benefits, maintaining employee productivity and retaining key employees.
If we do not successfully manage these issues and the other challenges inherent in integrating an acquired business, then we may not achieve the anticipated benefits of the Ansys Merger on our anticipated timeframe, if at all, and our business, revenue, expenses, operating results, financial condition and stock price could be materially adversely affected. The successful completion of the Ansys Merger and the integration of the Ansys business has required and will continue to require significant management attention both before and after the completion of the Ansys Merger, and may divert the attention of management from our normal business operations.
As a result of the Ansys Merger, we anticipate that the scope and size of our operations and business will substantially change and will result in certain incremental risks to us, including increased competition. We may not realize the full expected benefits of the Ansys Merger.
We anticipate that the Ansys Merger will substantially expand the scope and size of our business by adding substantial assets and operations to our existing business. The anticipated future growth of our business will impose significant added responsibilities on management, including, among other things, the need to identify, recruit, train and integrate additional employees. Our senior management’s attention may be diverted from the management of our business and its daily operations to the completion of the Ansys Merger and the integration of the assets acquired in the Ansys Merger. Further, the Ansys Merger could also create uncertainty for our or Ansys' employees and customers, particularly during the post-acquisition integration process. It could also disrupt existing business relationships, make it more difficult to develop new business relationships, or otherwise negatively impact the way that we operate our business.
We also anticipate that the Ansys Merger will result in increased competition. Ansys operates in a highly competitive industry, and is facing increasing competition for its products and services, in particular in simulation and analysis. Additionally, both Ansys and Synopsys compete with companies that increasingly provide integrated EDA and simulation and analysis offerings. These competitive pressures may result in decreased sales volumes, price reductions and/or increased operating costs, and could result in lower revenues, margins and net income for the combined company. These impacts could also result in our failure to realize expected synergies or cost savings as a result of the Ansys Merger. For more on risks relating to competition in the EDA industry and other industries, see
27

Table of Contents
The growth of our business depends primarily on the semiconductor and electronics industries” and “We operate in highly competitive industries, and if we do not continue to meet our customers’ demand for innovative technology at lower costs, our products may not be competitive or may become obsolete.”
Our ability to manage our business and growth will require us to continue to improve our operational, financial and management controls, reporting systems and procedures. We may also encounter risks, costs and expenses associated with any undisclosed or other unanticipated liabilities and use more cash and other financial resources on integration and implementation activities than we expect. We may not be able to integrate the Ansys business into our existing operations on our anticipated timelines or realize the full expected economic benefits of the Ansys Merger, which may have a material adverse effect on our business, operating results and financial condition.
In addition, the completion of the Ansys Merger may heighten the potential adverse effects on our business, operating results or financial condition described elsewhere in the Risk Factors in this Annual Report.
Our significant debt may limit our financial flexibility following the Ansys Merger.
We expect to incur a substantial amount of debt in connection with the Ansys Merger and have entered into the Bridge Commitment Letter and the Term Loan Agreement for the purpose of financing a portion of the cash consideration to be paid in the Ansys Merger and paying related fees and expenses in connection with the Ansys Merger and the other transactions contemplated by the Merger Agreement. We expect to use a portion of the proceeds from the facilities to repay Ansys’ existing credit facility substantially concurrently with the completion of the Ansys Merger.
Our ability to obtain any such new debt financing will depend on, among other factors, prevailing market conditions and other factors beyond our control. We cannot assure you that we will be able to obtain new debt financing on terms acceptable to us or at all, and any such failure could materially adversely affect our operations and financial condition. Our obligation to complete the Ansys Merger is not conditioned upon the receipt of any financing.
Following the Ansys Merger, the substantial indebtedness incurred in connection with the Ansys Merger could have adverse effects on our business, operating results and financial condition, including, among other things:
increasing our vulnerability to changing economic, regulatory and industry conditions;
limiting our ability to compete and our flexibility in planning for, or reacting to, changes in our business and the industry;
placing us at a competitive disadvantage compared to our competitors with less indebtedness;
increasing our interest expense and potentially requiring us to dedicate a substantial portion of our cash flow from operations to payments on our debt, thereby reducing the availability of cash to fund our business needs;
limiting our ability to return equity through our stock repurchase program or pay dividends to our stockholders; and
limiting our ability to borrow additional funds in the future to fund growth, acquisitions, working capital, capital expenditures or other purposes.
Our ability to make scheduled payments of the principal of, to pay interest on, or to refinance our indebtedness following the Ansys Merger will depend on, among other factors, our financial position and performance as well as prevailing market conditions and other factors beyond our control. Our combined business may not continue to generate cash flow from operations in the future sufficient to service our debt and make necessary capital expenditures and meet other liquidity needs. If we are unable to generate such cash flow, we may be required to adopt one or more alternatives, such as selling assets, restructuring debt or obtaining additional equity capital or debt refinancing on terms that may be onerous. We may not be able to engage in any of these activities or engage in these activities on desirable terms, which could result in a default on our debt obligations, which, if not cured or waived, could accelerate the repayment obligations under all of our outstanding debt, which could have a material adverse effect on our business, operating results or financial condition.
In addition, the level and quality of our earnings, operations, business and management, among other things, will impact the determination of our credit ratings by credit rating agencies. A decrease in the ratings assigned to us may negatively impact our access to the debt capital markets and increase our cost of borrowing. There can be no assurance that we will be able to obtain any future required financing on acceptable terms, if at all. In addition, there can be no assurance that we will be able to maintain the current credit worthiness or prospective credit rating of the combined company. Any actual or anticipated changes, or adverse conditions in the debt capital markets, could:
28

Table of Contents
adversely affect the trading price of, or market for, our debt securities;
increase interest expense under our credit facilities;
increase the cost of, and adversely affect our ability to refinance, our existing debt; and
adversely affect our ability to raise additional debt.
The covenants contained in the agreements governing our indebtedness following the Ansys Merger may impose restrictions on us and certain of our subsidiaries that may affect our ability to operate our businesses.
The agreements that will govern our indebtedness following the Ansys Merger, including any indebtedness to be incurred pursuant to the Bridge Commitment Letter (or any indebtedness that may refinance or replace the Bridge Commitment as set forth in the Bridge Commitment Letter) and the Term Loan Agreement, will contain various affirmative and negative covenants. Such covenants may, subject to certain significant exceptions, restrict our ability and the ability of certain of our subsidiaries after the Ansys Merger to, among other things, engage in mergers, consolidations and acquisitions, grant liens and incur debt at subsidiaries. In addition, such agreements also contain financial covenants that will require us to maintain certain financial ratios. Our ability to comply with these provisions after the Ansys Merger may be affected by events beyond our control. Failure to comply with these covenants could result in an event of default, which, if not cured or waived, could accelerate repayment obligations under all of our outstanding debt, which could have a material adverse effect on our business, operating results or financial condition.
Legal and Regulatory Risks
Changes in tax laws and regulations or interpretations thereof, or any change in the application of existing laws and regulations may adversely affect our effective tax rates and financial results.
Our operations are subject to taxation in the U.S. and in multiple foreign jurisdictions. Tax laws in these jurisdictions are subject to change as new laws or regulations are passed or new interpretations are made available. Changes in tax law, regulations or interpretation could have a material adverse impact on our tax expense and our financial position and cash flows. For additional detail on developments in tax laws and regulations applicable to us, see Note 18. Income Taxes of the Notes to Consolidated Financial Statements in this Annual Report under the heading "Legislative Developments."
We have a wide range of statutory tax rates in the multiple jurisdictions in which we operate. Changes in our geographical earnings mix, including those resulting from our intercompany transfer pricing or from changes in the rules governing transfer pricing, could materially impact our effective tax rate. In addition, we maintain significant deferred tax assets related to certain tax credits and capitalized research and development expenditures. Our ability to use these deferred tax assets is dependent upon having sufficient future taxable income in the relevant jurisdiction. Changes to tax laws and regulations, and changes in our forecasts of future income could result in an adjustment to the deferred tax asset and a related charge to earnings that could materially affect our financial results.
Our income and non-income tax filings are subject to review or audit by the Internal Revenue Service and state, local and foreign taxing authorities. We exercise significant judgment in determining our worldwide provision for income taxes and, in the ordinary course of our business, there may be transactions and calculations where the ultimate tax determination is uncertain. We may also be liable for potential tax liabilities of businesses we acquire. The final determination in an audit may be materially different than the treatment reflected in our historical income tax provisions and accruals. An assessment of additional taxes could adversely affect tax expense and materially affect our financial results. For further discussion on our ongoing audits, see Note 18. Income Taxes of the Notes to Consolidated Financial Statements in this Annual Report under the heading "Non-U.S. Examinations."
Our business is subject to evolving corporate governance and public disclosure regulations and expectations that could expose us to numerous risks.
We are subject to changing rules and regulations promulgated by a number of governmental and self-regulatory organizations, including, among others, the SEC, the Nasdaq Stock Market, the Financial Accounting Standards Board, states and the international governing bodies such as the European Union. These rules and regulations continue to evolve in scope and complexity making compliance difficult and uncertain. Changing rules, regulations as well as customer, employee and stakeholder expectations have resulted in, and are likely to continue to result in, increased general and administrative expenses and increased management time and attention spent complying
29

Table of Contents
with or meeting such regulations and expectations. For example, developing and acting on evolving ESG reporting standards, including the SEC’s climate-related reporting requirements, California’s climate-related disclosure laws, and the European Union's Corporate Sustainability Reporting Directive as well as customer requirements may be costly, difficult and time consuming. We may also communicate certain initiatives and goals regarding environmental matters, diversity, responsible sourcing, social investments and other ESG matters in our public disclosures. These initiatives and goals could be difficult and expensive to implement, the technologies needed to implement them may not be cost effective and may not advance at a sufficient pace, and ensuring the accuracy, adequacy, or completeness of the disclosure of our ESG initiatives can be costly, difficult and time consuming. Further, statements about our ESG initiatives and goals, and progress against those goals, may be based on standards for measuring progress that are still developing, internal controls and processes that continue to evolve, and assumptions that are subject to change. We could also face scrutiny from certain stakeholders for the scope or nature of such initiatives or goals, or for any revisions to these goals. If our ESG-related data, processes and reporting are incomplete or inaccurate, or if we fail to achieve progress with respect to our ESG goals on a timely basis, or at all, our business, financial performance and growth could be adversely affected.
We may be subject to litigation proceedings that could harm our business.
We may be subject to legal claims or regulatory matters involving stockholder, consumer, employment, customer, supplier, competition and other issues on a global basis. Litigation is subject to inherent uncertainties, and unfavorable rulings could occur. An unfavorable ruling could include monetary damages or, in cases for which injunctive relief is sought, an injunction prohibiting us from manufacturing or selling one or more products. If we were to receive an unfavorable ruling on a matter, our business and operating results could be materially harmed. Further information regarding certain of these matters is contained in Part I, Item 3, Legal Proceedings of this Annual Report.
General Risks
Catastrophic events and the effects of climate change, pandemics or other unexpected events may disrupt our business and harm our operating results.
Due to the global nature of our business, our operating results may be negatively impacted by catastrophic events and the effects of climate change, pandemics, such as the COVID-19 pandemic, or other unexpected events throughout the world. We rely on a global network of infrastructure applications, enterprise applications and technology systems for our development, marketing, operational, support and sales activities. A disruption or failure of these systems in the event of a major earthquake, fire, extreme temperatures, drought, flood, telecommunications failure, cybersecurity attack, terrorist attack, epidemic or pandemic, or other catastrophic or climate change-related events could cause system interruptions, delays in our product development and loss of critical data and could prevent us from fulfilling our customers’ orders. In particular, our sales and infrastructure are vulnerable to regional or worldwide health conditions, including the effects of the outbreak of contagious diseases, such as the government-imposed restrictions that curtailed global economic activity and caused substantial volatility in global financial markets during the COVID-19 pandemic. Moreover, our corporate headquarters, a significant portion of our research and development activities, our data centers, and certain other critical business operations are located in California, near major earthquake faults and sites of recent wildfires, which may become more frequent, along with other extreme weather events, due to climate change. A catastrophic event or other extreme weather event that results in the destruction or disruption of our data centers or our critical business or IT systems would severely affect our ability to conduct normal business operations and, as a result, our operating results would be adversely affected.
30

Table of Contents

 Item 1B.     Unresolved Staff Comments
None.
 Item 1C.     Cybersecurity
Cybersecurity Risk Management and Strategy
We recognize the importance of assessing, identifying, and managing material risks associated with cybersecurity threats, as such term is defined in Item 106(a) of Regulation S-K. These risks include, among other things, operational risks; intellectual property theft; fraud; extortion; harm to employees or customers; violation of privacy or security laws and other litigation and legal risk; and reputational risks.
We maintain a cybersecurity program and incident response plan to coordinate the activities we take to protect against, detect, respond to and remediate cybersecurity incidents, as such term is defined in Item 106(a) of Regulation S-K, as well as to comply with potentially applicable legal obligations and mitigate brand and reputational damage.
We have implemented cybersecurity processes, technologies, and controls to aid in our efforts to identify, assess, and manage material risks, as well as to test and improve our incident response plan. Our approach includes, among other things:
Security and privacy reviews designed to identify risks from new features, software, suppliers and vendors;
A vulnerability management program designed to identify software vulnerabilities;
A variety of tools designed to monitor our networks, systems, and data for suspicious activity;
An internal red team program that simulates cyber threats, enhancing our ability to fix vulnerabilities before they are exploited by threat actors;
A threat intelligence program designed to model and research our adversaries;
Products and services to structure, test, and assess the rigor of our software security practices;
A variety of privacy, cybersecurity, and incident response trainings and simulations, including regular controlled penetration testing and cyber incident exercises to test the robustness of our data security protections and incident response readiness;
For suppliers and service providers, pre-engagement risk-based diligence, contractual security and notification provisions, and ongoing monitoring as appropriate; and
Maintaining cyber liability insurance that covers certain liabilities related to data breaches and related incidents.
Synopsys’ cybersecurity program is designed to leverage multiple industry-recognized frameworks including the National Institute of Standards and Technology Cyber Security Framework (NIST CSF) and the ISO/IEC 27001 Information Security Management Framework, and are assessed regularly by our internal audit department. We track our NIST CSF implementation through periodic third-party maturity assessments that provide the basis for establishing performance goals for the coming period.
Our process for identifying and assessing material risks from cybersecurity threats operates alongside our broader overall risk assessment process. As part of this process, appropriate personnel will collaborate with subject matter specialists, as necessary, to gather insights for identifying and assessing material cybersecurity threat risks, their severity, and potential mitigations.
As part of the above approach and processes, we regularly engage with assessors, consultants, auditors, and other third-parties to help identify areas for continued focus, improvement and/or compliance.
Since 2015, we have not experienced any material cybersecurity incidents and the expenses we have incurred from cybersecurity incidents were immaterial. This includes penalties and settlements, of which there were none.
In our risk factors, we describe whether and how risks from identified cybersecurity threats, including as a result of any previous cybersecurity incidents, have materially affected or are reasonably likely to materially affect us,
31

Table of Contents
including our business strategy, results of operations or financial condition. See our risk factor disclosures in Part I, Item 1A of this Annual Report.
Cybersecurity Governance
Information technology and data security, particularly cybersecurity, is a top area of focus for our Board of Directors (the Board), which considers these areas as essential for the success of our company and the broader technology industry in which we operate.
Our Board is actively involved in overseeing cybersecurity risk management. At least once a year, senior management, including our Chief Information Security Officer (CISO), presents to the Board on Synopsys' cybersecurity performance and risk profile. Further, senior management and our CISO present semiannually to our Corporate Governance and Nominating Committee (CGN Committee) on Synopsys' cybersecurity risk oversight activities and cybersecurity preparedness efforts. The CGN Committee of our Board, a majority of whom are individuals with a strong background in cybersecurity and related matters, meets with members of senior management to review our information technology and data security policies and practices, and to assess current and potential threats, cybersecurity incidents and related risks. Our CISO reports directly to our executive management team and advises Synopsys on cybersecurity risks and assesses the effectiveness of information technology and data security processes. The materials presented to our Board and CGN Committee include updates on our data security posture, results of third-party assessments, progress towards pre-determined risk-mitigation related goals, our incident response plan, and certain cybersecurity threat risks or incidents and developments, as well as the steps management has taken to respond to such risks. Members of the Board and the CGN Committee are also encouraged to regularly engage in ad hoc conversations with management on cybersecurity-related news events and to discuss any updates to our cybersecurity risk management and strategy programs. Material cybersecurity threat risks may also be considered during separate Board meeting discussions.
Our cybersecurity risk management and strategy processes, which are discussed in greater detail above, are led by our CISO. Our CISO has over 30 years of prior work experience in various roles involving managing information security, developing cybersecurity strategy and implementing effective information and cybersecurity programs. Our CISO holds a Bachelor’s of Science in Information Technology and a Master of Business Administration, and is also a Certified Information Systems Security Professional. He oversees our cybersecurity program and chairs a cross-functional committee that spans information security, IT, product security, physical security, and legal.
Our CISO and other members of senior management are informed about and monitor the prevention, mitigation, detection, and remediation of cybersecurity incidents through their management of, and participation in, the cybersecurity risk management and strategy processes described above, including the operation of our incident response plan. If a cybersecurity incident is determined to be a material cybersecurity incident, our incident response plan and cybersecurity disclosure controls and procedures define the process to disclose such material cybersecurity incident.
 Item 2.     Properties
Our principal offices are currently located in Sunnyvale, California. We currently lease approximately 1.1 million square feet of space in 24 offices throughout the United States, of which we sublet 340,000 square feet to third parties. We own a 118,000 square foot building in California, which we lease to a third party. These offices are used primarily for sales and support, marketing, and administrative activities as well as research and development for our business segments.

We currently lease approximately 3.3 million square feet of space in 29 countries other than the United States, and own buildings in Wuhan, China and Hsinchu, Taiwan as well as office space in Xiamen, China and Yongin-si, South Korea. These offices are likewise used primarily for sales and support, service, and research and development activities for our business segments.

As our needs change, from time to time, we may relocate, expand, and/or otherwise increase or decrease the size of our operations, offices or personnel. We believe that our existing facilities, including both owned and leased properties, are in good condition and suitable for our current needs and that suitable additional or substitute space will be available on commercially reasonable terms as needed to accommodate any expansion of our operations.
32

Table of Contents
 Item 3.     Legal Proceedings
We are subject to routine legal proceedings, as well as demands, claims and threatened litigation that arise in the normal course of our business. The ultimate outcome of any litigation is often uncertain and unfavorable outcomes could have a negative impact on our results of operations and financial condition. Regardless of outcome, litigation can have an adverse impact on Synopsys because of the defense costs, diversion of management resources and other factors.
We regularly review the status of each significant matter and assess its potential financial exposure. If the potential loss from any claim or legal proceeding is considered probable and the amount is estimable, we accrue a liability for the estimated loss. Legal proceedings are inherently uncertain and as circumstances change, it is possible that the amount of any accrued liability may increase, decrease or be eliminated.
We are not aware of any legal proceedings that would materially impact our business, operating results or financial condition.
 Item 4.     Mine Safety Disclosures
Not applicable.

33

Table of Contents
PART II

 Item 5.     Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities
Our common stock trades on the Nasdaq Global Select Market under the symbol “SNPS.” As of December 16, 2024, we had 198 stockholders of record.
Performance Graph
The following graph compares the five-year total return to stockholders of our common stock relative to the cumulative total returns of the S&P 500 Index, the S&P Information Technology Index and the Nasdaq Composite Index. The graph assumes that $100 was invested in Synopsys common stock on November 1, 2019 (the last trading day before the beginning of our fifth preceding fiscal year) and in each of the indexes on October 31, 2019 (the closest month end) and that all dividends were reinvested. No cash dividends were declared on our common stock during such time. The comparisons in the table are not intended to forecast or be indicative of possible future performance of our common stock.
COMPARISON OF 5 YEAR CUMULATIVE TOTAL RETURN*
909
*$100 invested on November 1, 2019 in stock or October 31, 2019 in index, including reinvestment of dividends. Fiscal year ending November 2.
34

Table of Contents
The information presented above in the stock performance graph shall not be deemed to be “soliciting material” or to be “filed” with the SEC or subject to Regulation 14A or 14C, except to the extent that we subsequently specifically request that such information be treated as soliciting material or specifically incorporate it by reference into a filing under the Securities Act or Exchange Act.
Dividends
We have not paid cash dividends on our common stock.
Stock Repurchase Program
In fiscal 2022, our Board of Directors approved a stock repurchase program (the Program) with authorization to purchase up to $1.5 billion of our common stock. As of October 31, 2024, $194.3 million remained available for future repurchases under the Program. However, in connection with the pending Ansys Merger, we have suspended the Program until we reduce our expected debt levels.
The table below sets forth information regarding our repurchases of our common stock during the three months ended November 2, 2024:
Period
Total
number
of shares
purchased
Average
price paid
per share
Total
number of
shares
purchased
as part of
publicly
announced
programs
Maximum dollar
value of shares
that may yet be
purchased
under the
programs
Month #1
August 4, 2024 through September 7, 2024— $— — $194,276,393 
Month #2
September 8, 2024 through October 5, 2024— $— — $194,276,393 
Month #3
October 6, 2024 through November 2, 2024— $— — $194,276,393 
Total— — $194,276,393 

 Item 6.    [Reserved]










35

Table of Contents
 Item 7.     Management’s Discussion and Analysis of Financial Condition and Results of Operations
Overview
The following overview is qualified in its entirety by the more complete discussion contained in this Item 7, the risk factors set forth in Part I, Item 1A of this Form 10-K, and our consolidated financial statements and the notes thereto set forth in Item 8 of this Form 10-K. Please also see the cautionary language at the beginning of Part I of this Annual Report regarding forward-looking statements.
Unless otherwise noted, this Management’s Discussion and Analysis of Financial Condition and Results of Operations relates solely to our continuing operations and does not include the operations of our Software Integrity business. See “Software Integrity Divestiture” below and Note 3. Discontinued Operations of the Notes to Consolidated Financial Statements in this Annual Report for additional information about the Software Integrity Divestiture.
Fiscal 2024 Financial Performance Summary
For fiscal 2024, our results reflect continued, strong execution and the resiliency of our business, including 15% revenue growth compared to fiscal 2023, primarily due to revenue growth across all products and geographies. We have seen our customer set continue to expand as more companies in more industries define and optimize system performance at the silicon level. We also continue to see our total cost of revenue and operating expenses increase as we invest in our workforce and grow our research and development capabilities.
The following table sets forth some of our key consolidated financial information for each of our last three fiscal years:
 Year Ended October 31,
 202420232022
 
(in millions, except per share amounts)
Revenue
$6,127.4 $5,318.0 $4,615.7 
Cost of revenue
$1,245.3 $1,030.9 $898.0 
Operating expenses
$3,526.4 $3,013.9 $2,569.0 
Operating income
$1,355.7 $1,273.2 $1,148.7 
Net income from continuing operations attributed to Synopsys$1,441.7 $1,227.0 $970.2 
Net income from discontinued operations attributed to Synopsys
$821.7 $2.8 $14.4 
Diluted net income per share attributed to Synopsys:
Continuing operations$9.25 $7.91 $6.20 
Discontinued operations$5.26 $0.01 $0.09 
Fiscal 2024 compared to fiscal 2023 financial performance summary
Revenues were $6.1 billion, an increase of $809.4 million or 15%, primarily due to revenue growth across all products and geographies.
Total cost of revenue and operating expenses was $4.8 billion, an increase of $726.9 million or 18%, primarily due to an increase of $325.8 million in employee-related costs resulting from headcount increases through organic growth and acquisitions.
Operating income was $1.4 billion, an increase of $82.5 million or 6%.
Net income from discontinued operations was $821.7 million, an increase of $818.9 million, primarily due to the gain on Software Integrity Divestiture.
Fiscal 2023 compared to fiscal 2022 financial performance summary
Revenues were $5.3 billion, an increase of $702.3 million or 15%, primarily due to revenue growth across all products and geographies.
Total cost of revenue and operating expenses was $4.0 billion, an increase of $577.8 million or 17%, primarily due to an increase of $246.7 million in employee-related costs resulting from headcount increases through organic growth and acquisitions.
Operating income was $1.3 billion, an increase of $124.5 million or 11%.

36

Table of Contents
Business Summary
Synopsys delivers trusted and comprehensive silicon to systems design solutions, from EDA, including system verification and validation solutions, to silicon IP. We partner closely with semiconductor and systems customers across a wide range of industries to maximize their engineering and research and development capacity. We are catalyzing the era of pervasive intelligence, powering innovation today that ignites the ingenuity of tomorrow. For more information about our business segments and product groups, see Part I, Item 1 Business of this Annual Report.
We have consistently grown our revenue since 2005, despite periods of global economic uncertainty. We achieved these results because of our solid execution, leading technologies and strong customer relationships, and because we generally recognize our revenue for software licenses over the arrangement period, which typically approximates three years. See Note 2. Summary of Significant Accounting Polices and Basis of Presentation of the Notes to Consolidated Financial Statements in this Annual Report for a discussion on our revenue recognition policy. The revenue we recognize in a particular period generally results from selling efforts in prior periods rather than the current period. As a result, decreases as well as increases in customer spending do not immediately affect our revenue in a significant way.
Our growth strategy is based on maintaining and building on our leadership in our Design Automation products, expanding and proliferating our Design IP offerings and continuing to expand our product portfolio and our total addressable market. Our revenue growth from period to period is expected to vary based on the mix of our time based and upfront products. Based on our leading technologies, customer relationships, business model, diligent expense management, and acquisition strategy, we believe that we will continue to execute our strategies successfully.
Pending Acquisition of Ansys
On January 15, 2024, we entered into an Agreement and Plan of Merger (the Merger Agreement) to acquire all of the outstanding shares of Ansys, a provider of broad engineering simulation and analysis software and services, in a cash-and-stock transaction (the Ansys Merger) that values Ansys at approximately $35.0 billion, based on the closing price of Synopsys common stock on December 21, 2023.
Under the terms of the Merger Agreement, at the effective time of the Ansys Merger (the Effective Time), each share of Ansys common stock issued and outstanding immediately prior to the Effective Time (with certain exceptions set forth in the Merger Agreement) will be converted into the right to receive 0.3450 (the Exchange Ratio) of a share of Synopsys common stock and $197.00 in cash, without interest. The Exchange Ratio is expected to result in Ansys equityholders and Synopsys equityholders owning approximately 16.5% and 83.5%, respectively, of the combined company on a pro forma basis following the Effective Time. The Merger Agreement also provides for Synopsys’ assumption of certain outstanding Ansys options and other unvested Ansys equity awards held by continuing Ansys employees. If the stock consideration to be issued by Synopsys in connection with the Ansys Merger exceeds 19.9999% of the shares of Synopsys common stock issued and outstanding immediately prior to the Effective Time, the Exchange Ratio will be reduced to the minimum extent necessary to ensure that the aggregate number of shares of Synopsys common stock to be issued in connection with the Ansys Merger does not exceed such threshold, and the cash consideration will be correspondingly increased to offset such adjustment.
Pursuant to the Merger Agreement, at the Effective Time, two members of the board of directors of Ansys selected by mutual agreement of Synopsys and Ansys will become members of the Board of Directors of Synopsys. If the closing occurs less than six months prior to the next annual meeting of Synopsys’ stockholders, Synopsys will nominate such directors for election at such meeting. On March 19, 2024, Synopsys and Ansys mutually agreed to designate Dr. Ajei Gopal, the current President and Chief Executive Officer of Ansys, to become a member of the Synopsys Board of Directors at the Effective Time, subject to the completion of Synopsys’ director nomination process and satisfaction of all applicable eligibility requirements established by Synopsys’ Corporate Governance and Nominating Committee. Ansys and Synopsys have not yet determined or agreed on the remaining member of the Ansys board of directors to be appointed to the Synopsys Board of Directors.
The Ansys Merger was approved by the holders of a majority of the outstanding shares of Ansys common stock on May 22, 2024 and is anticipated to close in the first half of calendar year 2025. The Ansys Merger is subject to the satisfaction or waiver of customary closing conditions, including, among other things, the clearance of the Ansys Merger under certain antitrust and foreign investment regimes, and the continued effectiveness of the registration statement on Form S-4 (File No. 333-277912) filed by us on March 14, 2024 and declared effective by the SEC on April 17, 2024. Following the determination that it was a necessary step towards obtaining governmental approval of and successfully closing the Ansys Merger, on September 3, 2024, we signed a definitive agreement for the sale of
37

Table of Contents
our Optical Solutions Group to Keysight Technologies, Inc. (the Optical Solutions Divestiture). The Optical Solutions Divestiture is subject to customary closing conditions, including review by regulatory authorities, and the successful closing of the Ansys Merger.

We and Ansys each have termination rights under the Merger Agreement. A fee of $1.5 billion may be payable by us to Ansys, or a fee of $950.0 million may be payable by Ansys to us, upon termination of the Merger Agreement under specified circumstances, each as more fully described in the Merger Agreement. The receipt of financing by us is not a condition to complete the Ansys Merger.
In connection with the execution of the Merger Agreement, we entered into a commitment letter on January 15, 2024 (the Bridge Commitment Letter) with certain financial institutions that committed to provide, subject to the satisfaction of customary closing conditions, a senior unsecured bridge facility (the Bridge Commitment). On October 3, 2024, we reduced the Bridge Commitment by $1.1 billion to $10.6 billion following the closing of the Software Integrity Divestiture (as defined below). The Bridge Commitment currently provides for an aggregate principal amount of up to $10.6 billion. On February 13, 2024, we entered into a term loan facility credit agreement (the Term Loan Agreement), which provides us with the ability to borrow up to $4.3 billion at the closing of the Ansys Merger, subject to the satisfaction of customary closing conditions for similar facilities, for the purpose of financing a portion of the cash consideration to be paid in the Ansys Merger and paying related fees and expenses in connection with the Ansys Merger and the other transactions contemplated by the Merger Agreement. See Note 11. Bridge Commitment Letter, Term Loan and Revolving Credit Facilities of the Notes to Consolidated Financial Statements in this Annual Report for more information on the Bridge Commitment and the Term Loan Agreement.
For more on risks related to the Ansys Merger, see Part I, Item 1A, Risk Factors, “Risks Related to the Ansys Merger" of this Annual Report.
Impact of the Current Macroeconomic and Geopolitical Environment
Uncertainty in the macroeconomic environment, including the effects of, among other things, sustained global inflationary pressures and elevated interest rates, potential economic slowdowns or recessions, supply chain disruptions, geopolitical pressures, fluctuations in foreign exchange rates, and associated global economic conditions, have resulted in volatility in credit, equity and foreign currency markets. In fiscal 2024, while we saw continued strength in the artificial intelligence and high-performance computing sectors, certain industries such as industrial, automotive and consumer electronics are recovering more slowly from recent macroeconomic uncertainty. We expect growth across our geographies in fiscal 2025; however, we are expecting a challenging near-term growth environment, including in China, due to macroeconomic factors as well as, to a lesser degree, Entity List and other global trade restrictions. For more on the anticipated impact of export control regulations, see the discussion below and in Part I, Item 1A, Risk Factors of this Annual Report.
The current uncertain macroeconomic environment could lead some of our customers to postpone their decision-making, decrease their spending and/or delay their payments to us. For more on risks related to the current macroeconomic and geopolitical environment, see Part I, Item 1A, Risk Factors, “Uncertainty in the macroeconomic environment, and its potential impact on the semiconductor and electronics industries, may negatively affect our business, operating results and financial condition” of this Annual Report.
We are also actively monitoring geopolitical pressures around the world, including, among others, changes in China-Taiwan relations, the conflicts in Ukraine and the Middle East and other regional or global military conflicts. Any significant disruption caused by these or other geopolitical pressures or conflicts could materially affect our employees, business, operating results, financial condition or customers in those regions of the world. For example, Synopsys has employees, operations, customers and strategic partners in the Middle East. While we are actively monitoring this conflict, at this time, it has not had a material impact on our business, operating results or financial condition to date.
While our time-based model provides stability to our business, operating results and overall financial position, the broader implications of these macroeconomic or geopolitical events, particularly in the long term, remain uncertain. Further, the negative impact of these events or disruptions may be deferred due to our business model.
See Part I, Item 1A, Risk Factors of this Annual Report for further discussion of the impact of global economic and geopolitical uncertainty on our business, operations and financial condition.

38

Table of Contents
Developments in Export Control Regulations
The Bureau of Industry and Security (BIS) of the U.S. Department of Commerce published changes to U.S. export control regulations (U.S. Export Regulations), including, among other things, the inclusion of certain Chinese technology companies on the Entity List, restrictions on the export of electronic computer-aided design software specially designed for the development of ICs with Gate-All-Around Field-Effect Transistor structures, and certain other restrictions to China’s access to certain semiconductor and advanced computing technology. We currently believe U.S. Export Regulations will not have a material impact on our business. We anticipate additional changes to U.S. Export Regulations in the future, but we cannot forecast the scope or timing of such changes, nor the impact on our business. We will continue to monitor such developments, including potential additional trade restrictions, and other regulatory or policy changes by the U.S. and foreign governments.
For more on risks related to government export and import restrictions such as the U.S. government’s Entity List and other U.S. Export Regulations, see Part I, Item 1A, Risk Factors, “We are subject to governmental export and import requirements that could subject us to liability and restrict our ability to sell our products and services, which could impair our ability to compete in international markets.”
Software Integrity Divestiture
On May 5, 2024, we entered into an Equity Purchase Agreement (the Purchase Agreement), by and between Synopsys and Sapphire Software Buyer, Inc. (Buyer), an entity controlled by funds affiliated with Clearlake Capital Group, L.P. and Francisco Partners (together, the Sponsors). On September 30, 2024, we completed the previously announced sale of our Software Integrity business to entities controlled by funds affiliated with the Sponsors (the Software Integrity Divestiture). We previously determined that the Software Integrity business met the criteria to be disclosed as discontinued operations in the second quarter of fiscal 2024. See Note 3. Discontinued Operations of the Notes to Consolidated Financial Statements in this Annual Report for additional information on discontinued operations.
Business Segments
Design Automation. This segment includes our advanced silicon design, verification products and services and system integration products. This segment also includes digital, custom and field programmable gate array (FPGA) integrated circuit (IC) design software, verification software and hardware products, system integration products and services, and manufacturing software products. Designers use our EDA products to accelerate and automate the chip design process, reduce errors and enable more powerful and robust designs, with improved productivity for faster time to market.
Design IP. This segment includes our interface, foundation, security, and embedded processor IP, IP subsystems, and IP implementation services that serve companies primarily in the semiconductor and electronics industries. We are a leading provider of high-quality, silicon-proven IP solutions for system-on-chips (SoCs). This includes IP that has been optimized to address specific application requirements for the mobile, automotive, digital home, Internet of Things and AI/data center markets, enabling designers to quickly develop SoCs in these areas.
Fiscal Year End
Historically, our fiscal years have been 52- or 53-week periods ending on the Saturday nearest to October 31. Fiscal 2024 was a 53-week year ending on November 2, 2024, which impacted our revenue, expenses and operating results. Fiscal 2023 and 2022 were 52-week years and ended on October 28, 2023, and October 29, 2022, respectively. The extra week in fiscal 2024 resulted in approximately $70.5 million of additional revenue, and approximately $61.0 million of additional expenses, including approximately $11.0 million in stock-based compensation costs. The financial impact of one extra week included the amounts associated with the discontinued operations.
We have changed our fiscal year end from the Saturday nearest to October 31 and consisting of 52 or 53 fiscal weeks to a fiscal year end of October 31 each year. The fiscal year change becomes effective with our fiscal year 2025, which began on November 3, 2024. Our fiscal quarters will end on January 31, April 30, July 31 and October 31 of each year.
For presentation purposes, this Annual Report refers to the closest calendar month end.
39

Table of Contents
Critical Accounting Estimates
Our consolidated financial statements have been prepared in accordance with U.S. GAAP. In preparing these financial statements, we make assumptions, judgments and estimates that can affect the reported amounts of assets, liabilities, revenues and expenses, and net income. On an ongoing basis, we evaluate our estimates based on historical experience and various other assumptions that we believe are reasonable under the circumstances. Our actual results may differ from these estimates. See Note 2. Summary of Significant Accounting Policies and Basis of Presentation of the Notes to Consolidated Financial Statements in this Annual Report for further information on our significant accounting policies.
The accounting policies that most frequently require us to make assumptions, judgments and estimates, and therefore are critical to understanding our results of operations, are:
Revenue recognition; and
Business combinations.
Revenue Recognition
Our contracts with customers often include promises to transfer multiple products and services to a customer. Arrangements with customers can involve multiple products and various license rights. Customers can negotiate for a broad portfolio of solutions, and favorable terms along with future purchase options to manage their overall costs. Analysis of the terms and conditions in these contracts and their effect on revenue recognition may require significant judgment.
We have concluded that our EDA software licenses in Technology Subscription License (TSL) contracts are not distinct from our obligation to provide unspecified software updates to the licensed software throughout the license term, because those promises represent inputs to a single, combined performance obligation. Where unspecified additional software product rights are part of the contract with the customer, those rights are accounted for as part of the single performance obligation that includes the licenses, updates, and technical support, because such rights are provided during the same period of time and have the same time-based pattern of transfer to the customer.
For our IP licensing arrangements, we have concluded that the licenses and support services are distinct from each other, and therefore treated as separate performance obligations. Revenues from IP licenses are recognized at a point in time upon transfer of control of the IP license, and support services are recognized over the support period as a stand ready obligation to the customer.
We are required to estimate total consideration expected to be received from contracts with customers. In some circumstances, the consideration expected to be received is variable based on the specific terms of the contract or based on our expectations of the term of the contract. Generally, we have not experienced significant returns or refunds to customers. These estimates require significant judgment and the change in these estimates could have an effect on our results of operations during the periods involved.
Business Combinations
We allocate the purchase price of acquired companies to the tangible and intangible assets acquired and liabilities assumed based upon their estimated fair values on the acquisition date with the exception of contract assets and contract liabilities (deferred revenue) which are recognized and measured on the acquisition date in accordance with our "Revenue Recognition" policy in Note 2. Summary of Significant Accounting Policies and Basis of Presentation of the Notes to Consolidated Financial Statements in this Annual Report, as if we had originated the contracts. The excess of the purchase price over the fair values of these net tangible and intangible assets acquired is recorded as goodwill.
Accounting for business combinations requires management to make significant estimates and assumptions including our estimates for intangible assets. Although we believe the assumptions and estimates we have made are reasonable, they are based in part on historical experience, market conditions and information obtained from management of the acquired companies and are inherently uncertain. Examples of critical estimates in valuing certain of the intangible assets we have acquired or may acquire in the future include, but are not limited to:
future expected cash flows from software license sales, subscriptions, support agreements, consulting contracts and acquired developed technologies and patents;
40

Table of Contents
historical and expected customer attrition rates and anticipated growth in revenue from acquired customers;
estimated obsolescence rates used in valuing technology related intangible assets;
the expected use of the acquired assets; and
discount rates used to discount expected future cash flows to present value, which are typically derived from a weighted-average cost of capital analysis and adjusted to reflect inherent risks.
The fair value of the definite-lived intangibles was determined using variations of the income approach.
For acquisitions completed in fiscal 2024, the fair value for acquired existing technology was determined by applying the relief from royalty method under the income approach. The relief from royalty method applies a royalty rate to projected income to quantify the benefit of owning the intangible asset rather than paying a royalty for use of the asset. The economic useful life was determined based on historical technology obsolescence patterns and prospective technology developments. We assumed royalty rates ranging from 35% to 40%. The present value of operating cash flows from the existing technology was determined using discount rates ranging from approximately 11% to 14%.
Customer relationships represent the fair value of the existing relationships with the acquired company’s customers. Their fair value was determined using the multi-period excess earnings method under the income approach, which involves isolating the net earnings attributable to the asset being measured based on the present value of the incremental after-tax cash flows (excess earnings) attributable solely to the asset over its remaining useful life. The economic useful life was determined based on historical customer turnover rates. Projected income from existing customer relationships considered customer retention rates ranging from 55% to 95%. The present value of operating cash flows from existing customers was determined using discount rates ranging from approximately 11% to 14%.
We believe that our estimates and assumptions related to the fair value of acquired intangible assets are reasonable, but significant judgment is involved.
Results of Operations
Revenue
Our revenues are generated from two business segments: the Design Automation segment and the Design IP segment. See Note 20. Segment Disclosure of the Notes to Consolidated Financial Statements in this Annual Report for more information about our reportable segments and revenue by geographic regions.
Further disaggregation of the revenues into various products and services within these two segments is summarized as follows:
Design Automation Segment
EDA solutions include digital, custom and FPGA IC design software, verification software and hardware products, system integration products and services, and obligations to provide unspecified updates and support services. EDA products and services are typically sold through TSL arrangements that grant customers the right to access and use all of the licensed products at the outset of an arrangement; software updates are generally made available throughout the entire term of the arrangement. The duration of our TSL contracts is generally three years, though it may vary for specific arrangements. We have concluded that the software licenses in TSL contracts are not distinct from the obligation to provide unspecified software updates to the licensed software throughout the license term, because the multiple software licenses and support represent inputs to a single, combined offering, and timely, relevant software updates are integral to maintaining the utility of the software licenses. We recognize revenue for the combined performance obligation under TSL contracts ratably over the term of the license.
In the case of arrangements involving the sale of hardware products, we generally have two performance obligations. The first performance obligation is to transfer the hardware product, which includes software integral to the functionality of the hardware product. The second performance obligation is to provide maintenance on the hardware and its embedded software, which includes rights to technical support, hardware repairs and software updates that are all provided over the same term
41

Table of Contents
and have the same time-based pattern of transfer to the customer. The portion of the transaction price allocated to the hardware product is generally recognized as revenue at the time of shipment because the customer obtains control of the product at that point in time. We have concluded that control generally transfers at that point in time because the customer has the ability to direct the use of the asset and an obligation to pay for the hardware. The portion of the transaction price allocated to the maintenance obligation is recognized as revenue ratably over the maintenance term.
Revenue from Professional Service contracts is recognized over time, generally using costs incurred or hours expended to measure progress. We have a history of reasonably estimating project status and the costs necessary to complete projects. A number of internal and external factors can affect these estimates, including labor rates, utilization and efficiency variances and specification and testing requirement changes.
Design IP Segment
Design IP includes our interface, foundation, security, and embedded processor IP, IP subsystems, and IP implementation services. These arrangements generally have two performance obligations which consist of transferring of the licensed IP and providing related support, which includes rights to technical support and software updates that are provided over the support term and are transferred to the customer over time. Revenue allocated to the IP licenses is recognized at a point in time upon the later of the delivery date or the beginning of the license period, and revenue allocated to support is recognized over the support term. Royalties are recognized as revenue in the quarter in which the applicable customer sells its products that incorporate our IP. Payments for IP contracts are generally received upon delivery of the IP. Revenue related to the customization of certain IP is recognized over time, generally using costs incurred or hours expended to measure progress.
Our customer arrangements can involve multiple products and various license rights, and our customers negotiate with us over many aspects of these arrangements. For example, they generally request a broader portfolio of solutions, support and services and seek more favorable terms such as expanded license usage, future purchase rights and other unique rights at an overall lower total cost. No single factor typically drives our customers’ buying decisions, and we compete on all fronts to serve customers in highly competitive markets. Customers generally negotiate the total value of the arrangement rather than just unit pricing or volumes.
Total Revenue
Year Ended October 31,$ Change    % Change    $ Change% Change
2024202320222024 vs. 20232023 vs. 2022
(dollars in millions)
Design Automation$4,221.1 $3,775.3 $3,300.2 $445.8 12 %$475.1 14 %
Design IP1,906.3 1,542.7 1,315.5 363.6 24 %227.2 17 %
Total$6,127.4 $5,318.0 $4,615.7 $809.4 15 %$702.3 15 %
Our revenues are subject to fluctuations, primarily due to customer requirements including the timing and value of contract renewals. For example, we experience fluctuations in our revenues due to factors such as the timing of IP product sales, Flexible Spending Account (FSA) drawdowns, royalties, and hardware products sales. As revenues from IP products sales and hardware products sales are recognized upfront, customer demand and timing requirements for such IP products and hardware products could result in increased variability of our total revenues.
Contracted but unsatisfied or partially unsatisfied performance obligations (backlog) as of October 31, 2024 were approximately $8.1 billion, which includes $1.2 billion in non-cancellable FSA commitments from customers where actual product selection and quantities of specific products or services are to be determined by customers at a later date. We have elected to exclude future sales-based royalty payments from the remaining performance obligations. Approximately 41% of the backlog as of October 31, 2024, excluding non-cancellable FSA, is expected to be recognized as revenue over the next 12 months, with the remainder recognized thereafter. The majority of the remaining backlog is expected to be recognized in the following three years. The backlog was approximately $8.1 billion as of October 31, 2023, which included $1.4 billion in non-cancellable FSA commitments from customers.
The amount and composition of unsatisfied performance obligations will fluctuate period to period. We do not believe the amount of unsatisfied performance obligations is indicative of future sales or revenue, or that such obligations at the end of any given period correlates with actual sales performance of a particular geography or
42

Table of Contents
particular products and services. For more information regarding our revenue as of October 31, 2024, including our contract balances as of such date, see Note 6. Revenue of the Notes to Consolidated Financial Statements in this Annual Report.
For fiscal 2024 compared to fiscal 2023 and fiscal 2023 compared to fiscal 2022, revenues increased due to the continued organic growth of our business in all product groups and geographies.
For a discussion of revenue by geographic areas, see Note 20. Segment Disclosure of the Notes to Consolidated Financial Statements in this Annual Report.
Time-Based Products Revenue
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Time-based products revenue$3,224.3 $3,016.3 $2,657.7 $208.0 %$358.6 13 %
Percentage of total revenue53 %57 %58 %
The increase in time-based products revenue for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily attributable to an increase in TSL license revenue from arrangements booked in prior periods. The increase for fiscal 2024 compared to fiscal 2023 also included the impact of the extra week in fiscal 2024.
Upfront Products Revenue
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Upfront products revenue$1,802.2 $1,400.1 $1,221.2 $402.1 29 %$178.9 15 %
Percentage of total revenue29 %26 %26 %
Changes in upfront products revenue are generally attributable to normal fluctuations in the extent and timing of customer requirements, which can drive the amount of upfront orders and revenue in any particular period.
The increase in upfront products revenue for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily due to an increase in the sale of IP and hardware products driven by higher demand from customers.
Upfront products revenue as a percentage of total revenue will likely fluctuate based on the timing of IP and hardware product sales. Such fluctuations will continue to be impacted by the timing of shipments and FSA drawdowns due to customer requirements.
Maintenance and Service Revenue
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Maintenance revenue$429.4 $358.1 $291.6 $71.3 20 %$66.5 23 %
Professional service and other revenue671.5 543.5 445.2 128.0 24 %98.3 22 %
Total$1,100.9 $901.6 $736.8 $199.3 22 %$164.8 22 %
Percentage of total revenue18 %17 %16 %
The increase in maintenance revenue for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily due to an increase in the volume of arrangements that include maintenance.
The increase in professional service and other revenue for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily due to the timing of IP customization projects.
43

Table of Contents
Cost of Revenue
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Cost of products revenue$770.2 $697.7 $594.0 $72.5 10 %$103.7 17 %
Cost of maintenance and service revenue367.1 287.9 259.3 79.2 28 %28.6 11 %
Amortization of acquired intangible assets
108.0 45.3 44.7 62.7 138 %0.6 %
Total$1,245.3 $1,030.9 $898.0 $214.4 21 %$132.9 15 %
Percentage of total revenue20 %19 %19 %
We divide cost of revenue into three categories: cost of products revenue, cost of maintenance and service revenue, and amortization of acquired intangible assets.
Cost of products revenue. Cost of products revenue includes costs related to products sold and software licensed, hardware-related costs including inventory provisions, allocated operating costs related to product support and distribution, royalties paid to third-party vendors, and the amortization of capitalized software development costs.
Cost of maintenance and service revenue. Cost of maintenance and service revenue includes costs to deliver our maintenance services, such as hotline and on-site support, production services and documentation of maintenance updates.
Amortization of acquired intangible assets. Amortization of acquired intangible assets, included in cost of revenue, consists of the amortization and impairment charges of core/developed technology and certain contract rights intangible assets related to acquisitions.
The increase in cost of revenue for fiscal 2024 compared to fiscal 2023 was primarily due to increases of $62.7 million in amortization of acquired technology-related intangible assets, which included an impairment charge of $53.5 million due to a decline in estimated fair value resulting from the reductions in the expected future cash flows associated with certain core/developed technology intangible assets as further discussed in Note 7. Goodwill and Intangible Assets of the Notes to Consolidated Financial Statements in this Annual Report, $53.5 million in costs to fulfill IP consulting arrangements, $47.4 million in employee-related costs as a result of headcount increases from hiring, $43.4 million in hardware-related costs including inventory provisions, $3.4 million in the change in the fair value of our executive deferred compensation plan assets, and $3.2 million in maintenance and depreciation expenses. These increases were partially offset by a decrease of $2.1 million in facility costs.
The increase in cost of revenue for fiscal 2023 compared to fiscal 2022 was primarily due to increases of $53.4 million in hardware-related costs including inventory provisions, $45.8 million in employee-related costs as a result of headcount increases from hiring, $13.1 million in facility costs, $7.8 million in costs to fulfill IP consulting arrangements, and $6.0 million in the change in the fair value of our executive deferred compensation plan assets.
Operating Expenses
Research and Development
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Research and development expenses
$2,082.4 $1,849.9 $1,589.8 $232.5 13 %$260.1 16 %
Percentage of total revenue34 %35 %34 %
The increase in research and development expenses for fiscal 2024 compared to fiscal 2023 was primarily due to higher employee-related costs of $148.2 million as a result of headcount increases as we continue to expand and
44

Table of Contents
enhance our product portfolio, increases of $36.5 million in the change in the fair value of our executive deferred compensation plan assets, $20.6 million in facility costs, $6.7 million in depreciation expenses, and $2.4 million in consultant and contractor costs.
The increase in research and development expenses for fiscal 2023 compared to fiscal 2022 was primarily due to higher employee-related costs of $135.1 million as a result of headcount increases as we continue to expand and enhance our product portfolio, increases of $57.2 million in the change in fair value of our executive deferred compensation plan assets, $31.0 million in facility costs, and $21.2 million in consultant and contractor costs.
Sales and Marketing
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Sales and marketing expenses
$859.3 $724.9 $642.7 $134.4 19 %$82.2 13 %
Percentage of total revenue14 %14 %14 %
The increase in sales and marketing expenses for fiscal 2024 compared to fiscal 2023 was primarily due to increases of $90.9 million in employee-related costs due to headcount increases, $19.6 million in the change in the fair value of our executive deferred compensation plan assets, and $7.0 million in travel and marketing costs due to an increased number of in-person meetings and events.
The increase in sales and marketing expenses for fiscal 2023 compared to fiscal 2022 was primarily due to increases of $41.0 million in employee-related costs due to headcount increases and higher sales commissions, $13.2 million in the change in the fair value of our executive deferred compensation plan assets, $10.4 million in travel and marketing costs due to an increased number of in-person meetings and events, and $8.4 million in facility costs.
General and Administrative
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
General and administrative expenses
$568.5 $376.7 $313.6 $191.8 51 %$63.1 20 %
Percentage of total revenue%%%
The increase in general and administrative expenses for fiscal 2024 compared to fiscal 2023 was primarily due to increases of $135.2 million in legal, consulting and other professional fees mainly in connection with the Ansys Merger, $39.3 million in personnel-related costs due to headcount increases from hiring, $24.2 million in maintenance and depreciation expenses, and $5.7 million in the change in the fair value of our executive deferred compensation plan assets.
The increase in general and administrative expenses for fiscal 2023 compared to fiscal 2022 was primarily due to increases of $24.8 million in personnel-related costs due to headcount increases from hiring, $16.1 million in maintenance and depreciation expenses, $15.9 million in legal, consulting and other professional fees, and $11.8 million in the change in the fair value of our executive deferred compensation plan assets. These increases were partially offset by bad debt recoveries of $15.9 million in the second quarter of fiscal 2022.
Change in Fair Value of Deferred Compensation
The income or loss arising from the change in the fair value of our non-qualified deferred compensation plan obligation is recorded in cost of sales and each functional operating expense, with the offsetting change in the fair value of the related assets recorded in interest and other income (expense), net. There is no impact on our net income from the fair value changes in our deferred compensation plan obligation and related assets.
45

Table of Contents
Amortization of Acquired Intangible Assets
Amortization of acquired intangible assets included in operating expenses consists of the amortization of trademarks, trade names, and customer relationships intangible assets related to acquisitions.
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Amortization of acquired intangible assets
$16.2 $9.3 $11.6 $6.9 74 %(2.3)(20)%
Percentage of total revenue— %— %— %
The increase in amortization of acquired intangible assets for fiscal 2024 compared to fiscal 2023 was primarily due to amortization expense related to intangible assets acquired during fiscal 2024, partially offset by certain intangible assets becoming fully amortized during fiscal 2024.
The decrease in amortization of acquired intangible assets for fiscal 2023 compared to fiscal 2022 was primarily due to certain intangible assets becoming fully amortized during fiscal 2023, partially offset by amortization expense related to intangible assets acquired during fiscal 2023.
Restructuring Charges
In the first quarter of fiscal 2023, we initiated a restructuring plan for involuntary employee terminations as part of a business reorganization (the 2023 Plan). The 2023 Plan was substantially completed in the third quarter of fiscal 2023, and total charges under the 2023 Plan consisting primarily of severance costs and facility exit costs were $77.0 million, of which $23.9 million were related to discontinued operations.
The following is a summary of our restructuring liabilities:
Fiscal YearBalance at Beginning of PeriodCosts IncurredCash PaymentsBalance at End of Period
(dollars in millions)
2024$8.2 $— $(3.6)$4.6 
2023$— $53.1 $(44.9)$8.2 
2022$12.9 $11.2 $(24.1)$— 
See Note 21. Restructuring Charges of the Notes to Consolidated Financial Statements in this Annual Report for additional information.
46

Table of Contents
Interest and Other Income (Expense), Net
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 20232023 vs. 2022
 (dollars in millions)
Interest income$67.0 $36.7 $8.5 $30.3 83 %$28.2 332 %
Interest expense(35.2)(1.2)(1.7)(34.0)2,833 %0.5 (29)%
Gains (losses) on assets related to executive deferred compensation plan85.4 20.2 (67.5)65.2 323 %87.7 (130)%
Gain on sale of strategic investments
55.1 — — 55.1 100 %— — %
Foreign currency exchange gains (losses)6.3 (1.5)4.7 7.8 (520)%(6.2)(132)%
Other, net(20.5)(22.0)10.7 1.5 (7)%(32.7)(306)%
Total$158.1 $32.2 $(45.3)$125.9 391 %$77.5 (171)%
The increase in interest and other income (expense) for fiscal 2024 as compared to fiscal 2023 was primarily due to the increase in the fair value of our executive deferred compensation plan assets and the impact of gain recognized from the sale of strategic investments.
The increase in interest and other income (expense) for fiscal 2023 as compared to fiscal 2022 was primarily due to the increase in the fair value of our executive deferred compensation plan assets.
Segment Operating Results
We do not allocate certain operating expenses managed at a consolidated level to our reportable segments. These unallocated expenses consist primarily of amortization of acquired intangible assets, stock-based compensation expense, changes in the fair value of deferred compensation plan, restructuring charges, and acquisition/divestiture related items. See Note 20. Segment Disclosure of the Notes to Consolidated Financial Statements in this Annual Report for more information.
Design Automation Segment
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 2023
2023 vs. 2022
 (dollars in millions)
Adjusted operating income$1,631.9 $1,413.9 $1,176.1 $218.0 15 %$237.8 20 %
Adjusted operating margin39 %37 %36 %%%%%
The increase in adjusted operating income for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily due to an increase in revenue from arrangements booked in prior periods.
Design IP Segment
 Year Ended October 31,$ Change% Change$ Change% Change
 2024202320222024 vs. 2023
2023 vs. 2022
 (dollars in millions)
Adjusted operating income $730.2 $514.1 $403.8 $216.1 42 %$110.3 27 %
Adjusted operating margin38 %33 %31 %%15 %%%
The increase in adjusted operating income for fiscal 2024 compared to fiscal 2023 and for fiscal 2023 compared to fiscal 2022 was primarily due to an increase in the revenue of IP products driven by timing of customer demands.
47

Table of Contents
Income Taxes
Our effective tax rate for fiscal 2024 is 6.6%, which included $70.1 million of U.S. federal research tax credit benefit, $104.8 million of foreign derived intangible income (FDII) deduction benefit, and $43.4 million of net excess tax benefit from stock-based compensation.

Our effective tax rate for fiscal 2023 was 6.9%, which included $60.5 million of U.S. federal research tax credit benefit, $80.0 million of FDII deduction benefit, and $40.0 million of net excess tax benefit from stock-based compensation.
See Note 18. Income Taxes of the Notes to Consolidated Financial Statements in this Annual Report for further discussion of the provision for income taxes.
Liquidity and Capital Resources
Our principal sources of liquidity are funds generated from our business operations and funds that may be drawn down under our revolving credit and term loan facilities.
As of October 31, 2024, we held $4.1 billion in cash, cash equivalents and short-term investments. We also held $2.2 million in restricted cash primarily associated with deposits for office leases and employee loan programs. Our cash equivalents consisted primarily of taxable money market mutual funds, time deposits and highly liquid investments with maturities of three months or less. Our short-term investments include U.S. government and municipal obligations, investment-grade available-for-sale debt and asset backed securities with an overall weighted-average credit rating of approximately AA.
As of October 31, 2024, approximately $916.9 million of our cash and cash equivalents were domiciled in various foreign jurisdictions. We have provided for foreign withholding taxes on the undistributed earnings of certain of our foreign subsidiaries to the extent such earnings are no longer considered to be indefinitely reinvested in the operations of those subsidiaries.
We expect that the pending Ansys Merger is likely to result in a material increase in our debt and liquidity needs that will impact our capital needs during the next twelve months and beyond. We intend to fund our anticipated $19 billion cash consideration payment through a combination of cash and debt, and have a fully-committed debt financing in place for $14.9 billion (including $10.6 billion under the Bridge Commitment). Net cash proceeds received from certain debt and equity issuances or the sale of certain businesses and assets, including the Software Integrity Divestiture, as well as term loan commitments under certain qualifying term loan facilities, will result in mandatory commitment reductions under the Bridge Commitment. On October 3, 2024, we reduced the Bridge Commitment by $1.1 billion to $10.6 billion following the closing of the Software Integrity Divestiture. See Note 11. Bridge Commitment Letter, Term Loan and Revolving Credit Facilities of the Notes to Consolidated Financial Statements in this Annual Report for further discussion.
Effective fiscal 2023, our research and development expenditures were required to be capitalized and amortized under the Tax Cuts and Jobs Act instead of being deducted when incurred for US tax purposes, which significantly increases our federal cash tax liability. Additionally, as a result of the IRS tax relief for the California winter storms, the due date for our fiscal 2023 federal tax payment was November 16, 2023 and as such, we deferred our fiscal 2023 federal cash tax payments until the first quarter of fiscal 2024. This resulted in a significant increase to our cash outflows beginning in fiscal 2024. See Note 18. Income Taxes of the Notes to Consolidated Financial Statements in this Annual Report for further discussion.
48

Table of Contents
Cash Flows
Our consolidated statements of cash flows include cash flows related to the Software Integrity business. Significant non-cash items and capital expenditures of discontinued operations related to our Software Integrity business are presented separately in Note 3. Discontinued Operations of the Notes to Consolidated Financial Statements. For a discussion of fiscal 2023 changes compared to fiscal 2022, see the discussion in Item 7, Management’s Discussion and Analysis of Financial Condition and Results of Operations in our Annual Report for the fiscal year ended October 31, 2023, filed on December 12, 2023.
 Year Ended October 31,
 20242023$ Change
 (dollars in millions)
Cash provided by operating activities$1,407.0 $1,703.3 $(296.3)
Cash provided by (used in) investing activities
$1,223.0 $(482.1)$1,705.1 
Cash used in financing activities$(181.3)$(1,196.9)$1,015.6 
Cash Provided by Operating Activities
We expect cash from our operating activities to fluctuate as a result of a number of factors, including the timing of our billings and collections, our operating results, and the timing and amount of tax and other liability payments. Cash provided by our operations is dependent primarily upon the payment terms of our license agreements. We generally receive cash from upfront arrangements much sooner than from time-based products revenue, in which the license fee is typically paid either quarterly or annually over the term of the license.
The decrease in cash provided by operating activities was primarily due to higher federal tax payments of $471.0 million, which included $187.0 million of fiscal 2023 federal tax payments that were paid in fiscal 2024 as a result of payment deadline extensions due to IRS tax relief for the California winter storms.
Cash Provided by (Used in) Investing Activities
Net cash provided by investing activities was $1.2 billion for fiscal 2024 compared to net cash used in investing activities of $482.1 million for fiscal 2023. The increase in cash provided by investing activities was primarily driven by net cash proceeds of $1.4 billion from the Software Integrity Divestiture, lower cash paid for acquisitions of $140.7 million, lower purchases of property and equipment of $66.5 million and higher proceeds from the sales and maturities of investments of $55.7 million.
Cash Used in Financing Activities
The decrease in cash used in financing activities was primarily due to lower stock repurchases of $1.2 billion, as we have suspended the Program in connection with the pending Ansys Merger until we reduce our expected debt levels, partially offset by higher taxes paid for net share settlements of $96.1 million, the payment of costs related to the Bridge Commitment and the Term Loan of $72.3 million in connection with the Ansys Merger, and lower proceeds from issuance of common stock of $20.8 million.
Bridge Commitment Letter, Term Loan and Revolving Credit Facilities
On January 15, 2024, we entered into the Bridge Commitment Letter with certain financial institutions that committed to provide, subject to the satisfaction of customary closing conditions, the Bridge Commitment. The Bridge Commitment currently provides for an aggregate principal amount of up to $10.6 billion. The proceeds of any borrowing under the Bridge Commitment will be used for the purpose of financing a portion of the cash consideration to be paid in the Ansys Merger and paying related fees and expenses in connection with the Ansys Merger and the other transactions contemplated by the Merger Agreement.
The commitments to provide the Bridge Commitment may be terminated in whole or reduced in part, at our discretion. In addition, the Bridge Commitment Letter provides that net cash proceeds received from certain debt and equity issuances or the sale of certain businesses and assets, including the Software Integrity Divestiture, as well as term loan commitments under certain qualifying term loan facilities, will result in mandatory commitment reductions under the Bridge Commitment. On October 3, 2024, we reduced the Bridge Commitment by $1.1 billion to $10.6 billion following the closing of the Software Integrity Divestiture.
On February 13, 2024, we entered into the Term Loan Agreement in connection with the financing of the pending Ansys Merger. The Term Loan Agreement provides us with the ability to borrow up to $4.3 billion at the closing of
49

Table of Contents
the Ansys Merger, subject to the satisfaction of customary closing conditions for similar facilities, for the purpose of financing a portion of the cash consideration to be paid in the Ansys Merger and paying related fees and expenses in connection with the Ansys Merger and the other transactions contemplated by the Merger Agreement.
The Term Loan Agreement provides for two tranches of senior unsecured term loans: a $1.45 billion tranche (Tranche 1) that matures two years after funding and a $2.85 billion tranche (Tranche 2) that matures three years after funding. There was no outstanding balance under the Term Loan Agreement as of October 31, 2024.
Under the Term Loan Agreement, borrowings will bear interest on the principal amount outstanding at a floating rate based on, at Synopsys’ election, (i) the Adjusted Term SOFR Rate (as defined in the Term Loan Agreement) plus an applicable margin based on the credit ratings of Synopsys ranging from 0.875% to 1.375% (in the case of Tranche 1) or 1.000% to 1.500% (in the case of Tranche 2) or (ii) the ABR (as defined in the Term Loan Agreement) plus an applicable margin based on the credit ratings of Synopsys ranging from 0.000% to 0.375% (in the case of Tranche 1) or 0.000% to 0.500% (in the case of Tranche 2).
On May 14, 2024, a ticking fee began to accrue under the Term Loan Agreement in an amount equal to a rate per annum equal to 0.10% times the actual daily undrawn portion of the commitments in respect of the term loan facility. This ticking fee will accrue until the earlier of (i) termination or expiration of the commitments under the term loan facility or (ii) the funding of the commitments, at which point the accrued amount of the ticking fee will become payable.
The Term Loan Agreement contains a financial covenant requiring that Synopsys maintain a maximum consolidated leverage ratio commencing the last day of the first fiscal quarter ending on or after the completion of the Ansys Merger, as well as other non-financial covenants.
On February 13, 2024, we entered into a Sixth Amendment Agreement (the Sixth Amendment), which amended and restated our previous revolving credit agreement, dated as of December 14, 2022 (as amended and restated, the Revolving Credit Agreement).
Under the Sixth Amendment, certain amendments became effective on February 13, 2024 and certain additional amendments will become effective upon the completion of the Ansys Merger. Upon the effective date, the Sixth Amendment amended the financial covenant to allow netting of the cash proceeds of certain debt incurred to finance the Ansys Merger as well as certain other modifications set forth therein. Upon the completion of the Ansys Merger, the Sixth Amendment, among other things:
amends the applicable margin used to determine the interest that accrues on loans and the facility fee payable under the revolving credit facility to be based on our credit ratings;
amends the financial covenant thresholds under the financial covenant in the Revolving Credit Agreement requiring us to maintain a maximum consolidated leverage ratio; and
amends certain conditions to borrowing, other non-financial covenants and events of default.
The Revolving Credit Agreement provides an unsecured $850.0 million committed multicurrency revolving credit facility and an unsecured uncommitted incremental revolving loan facility of up to $150.0 million. The maturity date of the revolving credit facility is December 14, 2027, which may be extended at our option. There was no outstanding balance under the Revolving Credit Agreement as of October 31, 2024 and October 31, 2023.
Interest accrues on dollar-denominated loans at a floating rate based on, at Synopsys’ election, (i) the Adjusted Term SOFR Rate (as defined in the Revolving Credit Agreement) plus an applicable margin or (ii) the ABR (as defined in the Revolving Credit Agreement) plus an applicable margin. The applicable margin for Adjusted Term SOFR Rate based loans ranges from 0.785% to 0.975%, based upon Synopsys’ consolidated leverage ratio. The applicable margin for ABR based loans is 0.000%. In addition to the interest on any outstanding loans, Synopsys is also required to pay a facility fee on the entire portion of the revolving credit facility ranging from 0.09% to 0.15% based on Synopsys’ consolidated leverage ratio on the daily amount of the revolving commitment.
Subject to the completion of the Ansys Merger, interest under the Revolving Credit Agreement will accrue on dollar-denominated loans at a floating rate based on, at Synopsys’ election, (i) the Adjusted Term SOFR Rate plus an applicable margin based on our credit ratings ranging from 0.795% to 1.200% or (ii) the ABR plus an applicable margin based on our credit ratings ranging from 0.000% to 0.200%. In addition to the interest on any outstanding loans, Synopsys will also be required to pay a facility fee on the entire portion of the revolving credit facility ranging from 0.080% to 0.175% based on the credit ratings of Synopsys on the daily amount of the revolving commitment.
50

Table of Contents
The Revolving Credit Agreement contains a financial covenant requiring us to maintain a maximum consolidated leverage ratio, as well as other non-financial covenants. As of October 31, 2024, we were in compliance with the financial covenant.
In July 2018, we entered into a 12-year 220.0 million Renminbi (approximately $33.0 million) credit agreement with a lender in China to support our facilities expansion. Borrowings bear interest at a floating rate based on the 5-year Loan Prime Rate plus 0.74%. As of October 31, 2024, we had a $15.6 million outstanding balance under the agreement.
See Note 11. Bridge Commitment Letter, Term Loan and Revolving Credit Facilities of the Notes to Consolidated Financial Statements in this Annual Report for further discussion.
Stock Repurchase Program
In fiscal 2022, our Board of Directors approved a stock repurchase program (the Program) with authorization to purchase up to $1.5 billion of our common stock. As of October 31, 2024, $194.3 million remained available for future stock repurchases under the Program. In connection with the pending Ansys Merger, we have suspended our stock repurchase program until we reduce our expected debt levels.
The IR Act was enacted in the United States on August 16, 2022. The IR Act imposes a 1% excise tax on the fair market value of stock repurchases made by covered corporations after December 31, 2022. The total taxable value of shares repurchased is reduced by the fair market value of any newly issued shares during the taxable year. As of October 31, 2024, this does not have any impact on our consolidated financial statements. Risks related to the IR Act are described in Part I, Item 1A, Risk Factors.
Material Cash Requirements
Our material cash requirements include the following contractual and other obligations.
Leases
We have operating lease arrangements for office space, data center, equipment and other corporate assets. As of October 31, 2024, we had lease payment obligations, net of immaterial sublease income, of $631.0 million, with $93.2 million payable within 12 months.
Purchase Obligations
Purchase obligations represent an estimate of all open purchase orders and contractual obligations in the ordinary course of business for which we have not received the goods or services. As of October 31, 2024, we had $650.0 million of purchase obligations, with $558.5 million payable within 12 months. Although open purchase orders are considered enforceable and legally binding, the terms may allow us the option to cancel, reschedule and adjust our requirements based on our business needs prior to the delivery of goods or performance of services.
Term Loan
Refer to "Bridge Commitment Letter, Term Loan and Revolving Credit Facilities” under Item 7, Management’s Discussion and Analysis of Financial Condition and Results of Operations included in this Annual Report for more information.
Long Term Accrued Income Taxes
As of October 31, 2024, we had $18.8 million of long-term accrued income taxes which represent uncertain tax benefits. Currently, a reasonably reliable estimate of timing of payments related to uncertain tax benefits in individual years beyond fiscal 2024 cannot be made due to uncertainties in timing of the commencement and settlement of potential tax audits.




51

Table of Contents
 Item 7A.     Quantitative and Qualitative Disclosures About Market Risk
We are exposed to financial market risks, primarily due to changes in interest rates, foreign currency exchange rates, and non-marketable equity security price. None of market risk sensitive instruments are held for speculative trading purposes.
Interest Rate Risk. The primary objective of our investment activities is to preserve the invested principal while maximizing yields without significantly increasing risk exposure. To achieve this objective, we maintain our portfolio of investments in a mix of tax-exempt and taxable instruments that meet high credit quality standards, as specified in our investment policy. Our policy also limits the amount of credit exposure to any one issue, issuer and type of instrument.
Our exposure to market risk for changes in interest rates relates to our cash, cash equivalents, short-term investments, and outstanding debt. As of October 31, 2024, all of our cash, cash equivalents, and debt were at short-term variable or fixed interest rates. As of October 31, 2024, we had short term fixed income investment portfolio of $153.9 million. These securities, as with all fixed income instruments, are subject to interest rate risk and will decline in value if market interest rates increase. While par value generally approximates fair value on variable instruments, rising interest rates over time would increase both our interest income and our interest expense.
Our cash equivalents and debt by fiscal year of expected maturity and average interest rates as of October 31, 2024 are as follows:
 
Maturing in Year Ending
 20252026202720282029 and thereafterTotalFair Value
 (in thousands)
Cash & Cash equivalents$3,778,164 $3,778,164 $3,778,164 
Approx. average interest rate3.56 %
Short-term investments$57,156 $58,484 $27,380 $4,260 $6,589 $153,869 $153,869 
Approx. average coupon rate3.25 %4.17 %4.29 %4.43 %5.37 %
Short-term debt (variable rate):
Credit Facility in China$15,601 $15,601 $15,601 
Average interest rate
LPR +
.74% of such rate
Foreign Currency Risk. We operate internationally and are exposed to potentially adverse movements in currency exchange rates. The functional currency of the majority of our active foreign subsidiaries is the foreign subsidiary’s local currency. A weakening U.S. dollar relative to other currencies increases expenses of our foreign subsidiaries when they are translated into U.S. dollars in our consolidated statements of income. Likewise, a strengthening of the U.S. dollar relative to other currencies, including the renminbi or Yen, reduces revenue of our foreign subsidiaries upon translation and consolidation. If the U.S. dollar continues to strengthen, this could adversely affect our financial condition and operating results. In addition, increased international sales in the future may result in greater foreign currency denominated sales, increasing our foreign currency risk. Our operating expenses incurred outside the United States and denominated in foreign currencies are increasing and are subject to fluctuations due to changes in foreign currency exchange rates. If we are not able to successfully hedge against the risks associated with foreign currency fluctuations, our financial condition and operating results could be adversely affected. We enter into hedges in the form of foreign currency forward contracts to reduce our exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions including: (1) certain assets and liabilities, (2) shipments forecasted to occur within approximately one month, (3) future billings and revenue on previously shipped orders, and (4) certain future intercompany invoices denominated in foreign currencies. The foreign currency contracts are carried at fair value and denominated in various currencies as listed in the tables below. The duration of forward contracts usually ranges from 2 months to 29 months. See Note 2. Summary of Significant Accounting Policies and Basis of Presentation and Note 9. Financial Assets and Liabilities of the Notes to Consolidated Financial Statements in this Annual Report for a description of our accounting for foreign currency contracts.
52

Table of Contents
The success of our hedging activities depends upon the accuracy of our estimates of various balances and transactions denominated in non-functional currencies. Exchange rates are subject to significant and rapid fluctuations due to a number of factors, including interest rate changes and political and economic uncertainty. Therefore, we cannot predict the prospective impact of exchange rate fluctuations. To the extent our estimates are correct, gains and losses on our foreign currency contracts will be offset by corresponding losses and gains on the underlying transactions. For example, if the Euro were to depreciate by 10% compared to the U.S. dollar prior to the settlement of the Euro forward contracts listed in the table below as of October 31, 2024, the fair value of the contracts would decrease by approximately $16.4 million, and we would be required to pay approximately $16.4 million to the counterparty upon contract maturity. At the same time, the U.S. dollar value of our Euro-based expenses would decline, resulting in positive cash flow of approximately $16.4 million that would offset the loss and negative cash flow on the maturing forward contracts.
If estimates of our balances and transactions prove inaccurate, we will not be completely hedged, and we will record gains or losses, depending upon the nature and extent of such inaccuracy. Although we engage in foreign currency hedging activity, we may be unable to hedge all of our foreign currency risk, which could have a negative impact on our results of operations.
We enter into foreign exchange forward contracts with financial institutions and have not experienced nonperformance by counterparties. Further, we anticipate performance by all counterparties to such agreements.
Information about the gross notional values of our foreign currency contracts as of October 31, 2024 is as follows:
Gross Notional
Amount in
U.S. Dollars
Average
Contract
Rate
 (in thousands) 
Forward Contract Values:
Indian rupee$589,799 86.973 
Japanese yen469,566 151.041 
Euro164,701 1.100 
Canadian dollar157,536 1.369 
Chinese renminbi122,191 7.013 
Korean won68,720 1,342.594 
Taiwanese dollar64,599 32.042 
Israel shekel34,919 3.704